CN115422865A - 仿真方法及装置、计算设备、计算机可读存储介质 - Google Patents

仿真方法及装置、计算设备、计算机可读存储介质 Download PDF

Info

Publication number
CN115422865A
CN115422865A CN202211330613.2A CN202211330613A CN115422865A CN 115422865 A CN115422865 A CN 115422865A CN 202211330613 A CN202211330613 A CN 202211330613A CN 115422865 A CN115422865 A CN 115422865A
Authority
CN
China
Prior art keywords
simulation
level simulation
level
information
test
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN202211330613.2A
Other languages
English (en)
Other versions
CN115422865B (zh
Inventor
刘晓燕
高军
袁媛
郭俊
淮泽远
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Phytium Technology Co Ltd
Original Assignee
Phytium Technology Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Phytium Technology Co Ltd filed Critical Phytium Technology Co Ltd
Priority to CN202211330613.2A priority Critical patent/CN115422865B/zh
Publication of CN115422865A publication Critical patent/CN115422865A/zh
Application granted granted Critical
Publication of CN115422865B publication Critical patent/CN115422865B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3308Design verification, e.g. functional simulation or model checking using simulation
    • G06F30/331Design verification, e.g. functional simulation or model checking using simulation with hardware acceleration, e.g. by using field programmable gate array [FPGA] or emulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/36Preventing errors by testing or debugging software
    • G06F11/362Software debugging
    • G06F11/3636Software debugging by tracing the execution of the program
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2115/00Details relating to the type of the circuit
    • G06F2115/02System on chip [SoC] design

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • Quality & Reliability (AREA)
  • Test And Diagnosis Of Digital Computers (AREA)

Abstract

本申请提供了一种仿真方法及装置、计算设备、计算机可读存储介质,该方法用于对芯片进行仿真,以对芯片的功能进行验证,芯片包括按照流水线作业的多个模块。该方法包括:运行第一测试激励,以对芯片进行第一层级仿真;响应于第一层级仿真出现仿真错误,根据第一测试激励生成多个模块的多个第二测试激励;运行多个第二测试激励,以确定多个模块中的出现仿真错误的目标模块;对目标模块进行分析,以对第一层级仿真和/或第二层级仿真进行错误定位。本申请实施例在芯片仿真出现错误时,对芯片中按照流水线作业的多个模块分别进行仿真以模拟芯片仿真出错场景。分别执行多个模块级的仿真能够快速定位出现错误的模块,从而有助于提高定位问题的效率。

Description

仿真方法及装置、计算设备、计算机可读存储介质
技术领域
本申请涉及芯片领域,更为具体的,涉及一种仿真方法及装置、计算设备、计算机可读存储介质。
背景技术
随着工艺技术以及应用领域的不断发展,芯片设计的复杂度越来越高,芯片的流水线可多达几十级。在芯片的验证过程中,一旦发现仿真错误,通常从流水线的最后一级开始,逐级往前分析错误现场,直到确认错误点。这意味着定位问题可能需要分析整个芯片的代码逻辑,工作量大且定位效率较低。
发明内容
本申请提供一种仿真方法及装置、计算设备、计算机可读存储介质。下面对本申请实施例涉及的各个方面进行介绍。
第一方面,提供一种仿真方法,所述方法用于对芯片进行仿真,以对所述芯片的功能进行验证,所述芯片包括按照流水线作业的多个模块,所述方法包括:运行第一测试激励,以对所述芯片进行第一层级仿真,其中所述第一层级仿真的待测设计包含多个第二层级仿真的待测设计,所述多个第二层级仿真的待测设计与所述多个模块一一对应;响应于所述第一层级仿真出现仿真错误,根据所述第一测试激励生成所述多个模块的多个第二测试激励,所述多个第二测试激励用于对所述多个模块进行所述第二层级仿真,以模拟所述第一层级仿真,其中所述第二层级仿真相对所述第一层级仿真在所述芯片的仿真层级中的层级较低;运行所述多个第二测试激励,以确定所述多个模块中的出现仿真错误的目标模块;对所述目标模块进行分析,以对所述第一层级仿真和/或所述第二层级仿真进行错误定位。
作为一种可能的实现方式,所述根据所述第一测试激励生成多个模块的多个第二测试激励,包括:获取所述第一测试激励的测试跟踪信息;从所述测试跟踪信息中获取所述多个模块各自对应的信息;根据所述多个模块各自对应的信息,生成所述多个第二测试激励。
作为一种可能的实现方式,所述测试跟踪信息包括以下信息中的一种或多种:指令状态信息,寄存器状态信息,以及存储状态信息。
作为一种可能的实现方式,所述指令状态信息包括以下中的一种或多种:指令的程序计数器值,指令编码,指令助记符中的一种或多种;和/或所述寄存器状态信息包括以下中的一种或多种:通用寄存器信息和系统寄存器信息;和/或所述存储状态信息包括以下中的一种或多种:访存信息、页表信息以及存储过程记录的异常信息。
作为一种可能的实现方式,所述第一层级仿真为所述芯片的系统级仿真,所述第二层级仿真为子系统级仿真或模块级仿真;或者,所述第一层级仿真为所述芯片的子系统级仿真,所述第二层级仿真为模块级仿真。
第二方面,提供一种仿真装置,所述装置用于对芯片进行仿真,以对所述芯片的功能进行验证,所述芯片包括按照流水线作业的多个模块,所述装置包括:第一运行模块,被配置为运行第一测试激励,以对所述芯片进行第一层级仿真,其中所述第一层级仿真的待测设计包含多个第二层级仿真的待测设计,所述多个第二层级仿真的待测设计与所述多个模块一一对应;生成模块,被配置为响应于所述第一层级仿真出现仿真错误,根据所述第一测试激励生成所述多个模块的多个第二测试激励,所述多个第二测试激励用于对所述多个模块进行所述第二层级仿真,以模拟所述第一层级仿真,其中所述第二层级仿真相对所述第一层级仿真在所述芯片的仿真层级中的层级较低;第二运行模块,被配置为运行所述多个第二测试激励,以确定所述多个模块中的出现仿真错误的目标模块;分析模块,被配置为对所述目标模块进行分析,以对所述第一层级仿真和/或所述第二层级仿真进行错误定位。
作为一种可能的实现方式,所述生成模块进一步被配置为:获取所述第一测试激励的测试跟踪信息;从所述测试跟踪信息中获取所述多个模块各自对应的信息;根据所述多个模块各自对应的信息,生成所述多个第二测试激励。
作为一种可能的实现方式,所述测试跟踪信息包括以下信息中的一种或多种:指令状态信息,寄存器状态信息,以及存储状态信息。
作为一种可能的实现方式,所述指令状态信息包括以下中的一种或多种:指令的程序计数器值,指令编码,指令助记符中的一种或多种;和/或所述寄存器状态信息包括以下中的一种或多种:通用寄存器信息和系统寄存器信息;和/或所述存储状态信息包括以下中的一种或多种:访存信息、页表信息以及存储过程记录的异常信息。
作为一种可能的实现方式,所述第一层级仿真为所述芯片的系统级仿真,所述第二层级仿真为子系统级仿真或模块级仿真;或者,所述第一层级仿真为所述芯片的子系统级仿真,所述第二层级仿真为模块级仿真。
第三方面,提供一种计算设备,包括:存储器,用于存储代码;处理器,用于执行所述存储器中存储的代码,以执行如第一方面或第一方面中的任意一种可能的实现方式所述的方法。
第四方面,提供一种计算机可读存储介质,其上存储有用于执行如第一方面或第一方面中的任意一种可能的实现方式所述的方法的代码。
第五方面,提供一种计算机程序代码,包括用于执行如第一方面或第一方面中的任意一种可能的实现方式所述的方法的指令。
本申请实施例在芯片仿真出现错误时,对芯片中按照流水线作业的多个模块分别进行仿真以模拟芯片仿真出错场景。分别执行多个模块级的仿真能够快速定位出现错误的模块,从而有助于提高定位问题的效率。
附图说明
图1为本申请实施例提供的一种仿真方法的流程示意图。
图2为本申请实施例提供的另一种仿真方法的流程示意图。
图3为本申请实施例提供的一种仿真装置的结构示意图。
图4为本申请另一实施例的计算设备的结构示意图。
具体实施方式
下面将结合本申请实施例中的附图,对本申请实施例中的技术方案进行清楚、完整地描述,显然,所描述的实施例仅是本申请一部分实施例,而不是全部的实施例。
近年来,随着工艺技术以及应用领域的不断发展,一方面芯片设计的复杂度越来越高,另一方面对芯片研发周期的要求越来越严格,这就要求对于芯片的各种验证工作需要尽早和高效地进行。
芯片验证工作贯穿芯片的设计和生产过程中的多个环节。例如,在芯片的寄存器传输级(register transfer level,RTL)代码设计完成后,为了确保RTL代码的描述完全符合芯片的功能需求,可以对芯片的RTL代码进行仿真验证。
常用的仿真验证方法为:首先,构建一个与待仿真模块的功能相同的模型(可以称为参考模型);其次,将测试用例(也可以称为测试激励)输入待仿真模块与参考模型;最后,根据待仿真模块与参考模型的输出结果来判断待仿真模块的功能是否正确。如果待仿真模块与参考模型的输出结果一致,则待仿真模块的功能正确;如果待仿真模块与参考模型的输出结果不一致,则待仿真模块的功能出错。
另外,芯片设计的复杂度决定了芯片的验证不可能一蹴而就,因此通常会采用层次化的方法进行芯片验证。典型的芯片验证层次分为模块级、子系统级和系统级(即芯片级),验证层次也可以称为验证层级。在采用层次化验证方法时,一般按照验证层级从低到高的顺序进行验证。例如,层次化验证顺序可以为模块级、子系统级、系统级。
目前,大部分芯片采用流水线作业以提高芯片的工作效率。在一些实施例中,芯片的验证层级可以按照流水线划分为多个模块。其中,多个模块中的每个模块可以包括芯片的一级或多级流水线。每个模块可以负责指令执行过程中的部分功能,通过多个模块的分工合作可以更加有效地实现芯片功能。
随着现代芯片的功能越来越复杂,芯片的流水线可多达几十级。在芯片系统级验证的过程中,一旦发现仿真错误,常用的定位问题的方法为:从流水线的最后一级开始,逐级往前分析错误现场,直到确认错误点。这意味着定位问题时可能需要分析整个芯片的代码逻辑,工作量大且定位效率较低。
针对上述问题,本申请实施例提出一种仿真方法。下面结合图1,对本申请实施例提供的仿真方法进行详细介绍。应理解,图1的方法可用于对芯片进行仿真,以对芯片的功能进行验证。
参见图1,方法100可以包括步骤S110至步骤S140。
在步骤S110,运行第一测试激励,以对芯片进行第一层级仿真。
如前文所述,芯片通常采用层次化的方法进行仿真。这里提到的第一层级仿真可以是该层次化仿真中的某一层级的仿真。例如,该第一层级仿真可以是芯片级或系统级的仿真。或者,该第一层级的仿真也可以为子系统级仿真。
前文提到,芯片可以包括按照流水线作业的多个模块,因此,第一层级仿真的待测设计(design under test,DUT)可以包含多个第二层级仿真的DUT,该多个第二层级仿真的DUT与按照流水线作业的多个模块一一对应。例如,芯片包括按照流水线作业的五个模块,则该芯片的第一层级仿真的待测设计可以包含与前述五个模块一一对应的五个待测设计。
在步骤S120,响应于第一层级仿真出现仿真错误,根据第一测试激励生成多个模块的多个第二测试激励。该多个第二测试激励可用于对多个模块进行第二层级仿真,以模拟第一层级仿真。
第二层级仿真可以是前文提到的层次化仿真中的某一层级的仿真。其中第二层级仿真相对第一层级仿真在芯片的仿真层级中的层级较低。作为一个示例,第一层级仿真为系统级仿真,第二层级仿真为模块级仿真。或者,第一层级仿真为子系统级仿真,第二层级仿真为模块级仿真。
以第一层级仿真为系统级仿真,第二层级仿真为模块级仿真为例,该模块级的仿真可以包括以下模块中的一种或多种的仿真:取指模块、译码模块、分派模块和执行模块。作为一个具体的例子,响应于系统级仿真出现错误,可以根据第一测试激励生成与取指模块、译码模块、分派模块和执行模块一一对应的多个第二测试激励。
根据第一测试激励生成第二测试激励的方法有多种。例如,可以通过第一测试激励的测试跟踪信息生成第二测试激励。
在一些实施例中,第一测试激励的测试跟踪信息可以包括指令状态信息,寄存器状态信息,以及存储状态信息中的一种或多种。其中,指令状态信息可以包括指令的程序计数器(PC)值,指令编码,指令助记符中的一种或多种;寄存器状态信息可以包括通用寄存器信息和系统寄存器信息中的一种或多种;存储状态信息可以包括访存信息、页表信息以及存储过程记录的异常信息。
从测试跟踪信息中可以获取多个模块各自对应的信息(也可以称为状态信息)。多个模块各自对应的信息至少包括多个模块所需的必要信息,也就是说,多个模块各自对应的信息至少包括生成多个模块对应的第二测试激励所需的必要信息。例如,取指模块的必要信息包括:PC值、下一条PC值、是否发生跳转、指令编码;译码模块的必要信息包括指令编码、系统寄存器信息;分派模块的必要信息包括指令编码、指令类型、寄存器信息、异常信息;执行模块的必要信息包括指令编码、寄存器信息、访存信息、页表信息、异常信息;分支指令的执行模块的必要信息还包括跳转目标地址、实际跳转地址,是否发生跳转。
另外,多个模块各自对应的信息可以使用脚本根据测试跟踪信息进行提取。测试脚本,即自动执行测试过程(或部分测试过程)的计算机可读指令。测试脚本可以被创建(记录)或使用测试自动化工具自动生成,或用编程语言编程来完成,也可综合前三种方法来完成。
根据多个模块各自对应的信息,可以生成多个第二测试激励。多个第二测试激励可以用于对多个模块进行第二层级仿真,以模拟第一层级仿真。其中第二层级仿真相对第一层级仿真在芯片的仿真层级中的层级较低。
在步骤S130,运行多个第二测试激励,以确定多个模块中的出现仿真错误的目标模块。
运行多个模块各自对应的第二测试激励,换句话说,输入第二测试激励以对多个模块进行仿真,观测多个模块的仿真结果是否正确。如果多个模块中的一个或多个模块出现仿真错误,则该一个或多个模块为目标模块。在一些实施例中,第二测试激励可以分开运行。在另一些实施例中,为了提高目标模块的定位速度,可以同时运行多个第二测试激励。
在步骤S140,对目标模块进行分析,以对第一层级仿真和/或第二层级仿真进行错误定位。
作为一种实现方式,结合目标模块的错误现象,对目标模块的代码进行分析,可以找到目标模块出错的代码,实现第二层级仿真的错误定位。由于第二层级仿真可以完美复现第一层级仿真的错误现场,因此,根据第二层级仿真的错误定位可以实现对芯片第一层级仿真的错误定位。
如前文所述,芯片中按照流水线作业的多个模块中的每个模块可以包括一级或多级流水线,因此在对目标模块分析时,可以从目标模块中包含的流水线的后端(即最后一级)开始逐级向前分析,直到确认出错的流水线。进一步地,在对目标模块的代码分析时可以针对出错的流水线查找出错代码,缩小了出错代码的查找范围。
在一些情况下,目标模块可以包括多个模块。例如,目标模块可以包括第一模块和第二模块。在对目标模块进行分析时,可以先对第一模块进行分析,也可以先对第二模块进行分析。在目标模块的错误修复之后,可以再次进行第一层级的仿真,以确认问题全部排除。
本申请实施例在芯片仿真出现错误时,对芯片中按照流水线作业的多个模块分别进行仿真以模拟芯片仿真出错场景。分别执行多个模块级的仿真能够快速定位出现错误的模块,从而有助于提高定位问题的效率。
图2为本申请实施例提供的另一种仿真方法的流程示意图。下文以系统级仿真为例,结合图2对本申请实施例提出的仿真方法进行详细的介绍。
参见图2,方法200包括步骤S201至步骤S207,其中步骤S201至步骤S203为系统级仿真验证,步骤S204至步骤S207为模块级仿真验证。
在步骤S201,运行测试激励,收集trace信息。
所述测试激励为第一测试激励。trace信息是指测试激励执行过程中所有指令、寄存器、存储的状态信息,包括所有指令的PC值、指令编码、指令助记符、寄存器信息(包括通用寄存器信息、系统寄存器信息)、访存信息、页表信息、异常信息。
在步骤S202,判断仿真是否出错。如果系统级仿真测试出现错误,则跳转至步骤S203;如果仿真未出现错误,则结束当前流程。
在步骤S203,提取模块级仿真的必要信息。
必要信息需要根据模块进行区分。例如,取指模块的必要信息包括:PC值、下一条PC值、是否发生跳转、指令编码;译码模块的必要信息包括指令编码、系统寄存器信息;分派模块的必要信息包括指令编码、指令类型、寄存器信息、异常信息;执行模块的必要信息包括指令编码、寄存器信息、访存信息、页表信息、异常信息,分支指令的执行模块的必要信息还包括跳转目标地址、实际跳转地址,是否发生跳转。
在步骤S204,生成各模块的模块级测试激励。
模块级仿真测试激励根据系统级仿真测试激励生成,是一种定向测试激励,因此可以精准复现系统级仿真的出错场景。
在步骤S205,各模块同时运行各自生成的模块级仿真测试激励。
各模块可以同时运行模块级仿真测试激励(即第二测试激励),进一步提高定位问题的速度。
在步骤S206,判断各模块的仿真测试结果是否出错。如果模块级仿真验证出现错误,则跳转至步骤S207,否则结束当前流程。
在步骤S207,从出错模块的流水线后端开始往前逐级分析,确认问题。
上文结合图1至图2,详细描述了本申请的方法实施例,下面结合图3和图4,详细描述本申请的装置实施例。应理解,方法实施例的描述与装置实施例的描述相互对应,因此,未详细描述的部分可以参见前面方法实施例。
图3为本申请实施例提供的一种仿真装置的结构示意图。仿真装置300可以用于对芯片进行仿真,以对所述芯片的功能进行验证,所述芯片包括按照流水线作业的多个模块。
参见图3,装置300包括第一运行模块302、生成模块304,第二运行模块306和分析模块308。
第一运行模块302,被配置为运行第一测试激励,以对所述芯片进行第一层级仿真,其中所述第一层级仿真的待测设计包含多个第二层级仿真的待测设计,所述多个第二层级仿真的待测设计与所述多个模块一一对应。
生成模块304,被配置为响应于所述第一层级仿真出现仿真错误,根据所述第一测试激励生成所述多个模块的多个第二测试激励,所述多个第二测试激励用于对所述多个模块进行所述第二层级仿真,以模拟所述第一层级仿真,其中所述第二层级仿真相对所述第一层级仿真在所述芯片的仿真层级中的层级较低。
第二运行模块306,被配置为运行所述多个第二测试激励,以确定所述多个模块中的出现仿真错误的目标模块。
分析模块308,被配置为对所述目标模块进行分析,以对所述第一层级仿真和/或所述第二层级仿真进行错误定位。
可选地,所述生成模块304进一步被配置为:获取所述第一测试激励的测试跟踪信息;从所述测试跟踪信息中获取所述多个模块各自对应的信息;根据所述多个模块各自对应的信息,生成所述多个第二测试激励。
可选地,所述测试跟踪信息包括以下信息中的一种或多种:指令状态信息,寄存器状态信息,以及存储状态信息。
可选地,所述指令状态信息包括以下中的一种或多种:指令的程序计数器值,指令编码,指令助记符中的一种或多种;和/或所述寄存器状态信息包括以下中的一种或多种:通用寄存器信息和系统寄存器信息;和/或所述存储状态信息包括以下中的一种或多种:访存信息、页表信息以及存储过程记录的异常信息。
可选地,所述第一层级仿真为所述芯片的系统级仿真,所述第二层级仿真为子系统级仿真或模块级仿真;或者,所述第一层级仿真为所述芯片的子系统级仿真,所述第二层级仿真为模块级仿真。
图4为本申请另一实施例的计算设备的结构示意图。图4所示的计算设备400可以包括存储器410和处理器420。在一些实施例中,图4所示的计算设备400还可以包括输入/输出接口430以及收发机440。存储器410、处理器420、输入/输出接口430和收发机440通过内部连接通路相连,该存储器410用于存储指令,该处理器420用于执行该存储器410存储的指令,以执行前文任一实施例描述的仿真方法。
应理解,在本申请实施例中,该处理器420可以采用通用的中央处理器(centralprocessing unit,CPU),微处理器,应用专用集成电路(application specificintegrated circuit,ASIC),或者一个或多个集成电路,用于执行相关程序,以实现本申请实施例所提供的技术方案。
还应理解,收发机440又称通信接口,使用例如但不限于收发器一类的收发装置,来实现计算设备400与其他设备或通信网络之间的通信。
该存储器410可以包括只读存储器和随机存取存储器,并向处理器420提供指令和数据。处理器420的一部分还可以包括非易失性随机存取存储器。例如,处理器420还可以存储设备类型的信息。
在实现过程中,上述方法的各步骤可以通过处理器420中的硬件的集成逻辑电路或者软件形式的指令完成。结合本申请实施例所公开的仿真方法可以直接体现为硬件处理器执行完成,或者用处理器中的硬件及软件模块组合执行完成。软件模块可以位于随机存储器,闪存、只读存储器,可编程只读存储器或者电可擦写可编程存储器、寄存器等本领域成熟的存储介质中。该存储介质位于存储器410,处理器420读取存储器410中的信息,结合其硬件完成上述方法的步骤。为避免重复,这里不再详细描述。
应理解,本申请实施例中,该处理器可以为中央处理单元(central processingunit,CPU),该处理器还可以是其他通用处理器、数字信号处理器(digital signalprocessor,DSP)、专用集成电路(application specific integrated circuit,ASIC)、现成可编程门阵列(field programmable gate array,FPGA)或者其他可编程逻辑器件、分立门或者晶体管逻辑器件、分立硬件组件等。通用处理器可以是微处理器或者该处理器也可以是任何常规的处理器等。
应理解,在本申请实施例中,“与A相应的B”表示B与A相关联,根据A可以确定B。但还应理解,根据A确定B并不意味着仅仅根据A确定B,还可以根据A和/或其它信息确定B。
应理解,本文中术语“和/或”,仅仅是一种描述关联对象的关联关系,表示可以存在三种关系,例如,A和/或B,可以表示:单独存在A,同时存在A和B,单独存在B这三种情况。另外,本文中字符“/”,一般表示前后关联对象是一种“或”的关系。
应理解,在本申请的各种实施例中,上述各过程的序号的大小并不意味着执行顺序的先后,各过程的执行顺序应以其功能和内在逻辑确定,而不应对本申请实施例的实施过程构成任何限定。
在本申请所提供的几个实施例中,应该理解到,所揭露的系统、装置和方法,可以通过其它的方式实现。例如,以上所描述的装置实施例仅仅是示意性的,例如,所述单元的划分,仅仅为一种逻辑功能划分,实际实现时可以有另外的划分方式,例如多个单元或组件可以结合或者可以集成到另一个系统,或一些特征可以忽略,或不执行。另一点,所显示或讨论的相互之间的耦合或直接耦合或通信连接可以是通过一些接口,装置或单元的间接耦合或通信连接,可以是电性,机械或其它的形式。
所述作为分离部件说明的单元可以是或者也可以不是物理上分开的,作为单元显示的部件可以是或者也可以不是物理单元,即可以位于一个地方,或者也可以分布到多个网络单元上。可以根据实际的需要选择其中的部分或者全部单元来实现本实施例方案的目的。
另外,在本申请各个实施例中的各功能单元可以集成在一个处理单元中,也可以是各个单元单独物理存在,也可以两个或两个以上单元集成在一个单元中。
在上述实施例中,可以全部或部分地通过软件、硬件、固件或者其任意组合来实现。当使用软件实现时,可以全部或部分地以计算机程序产品的形式实现。所述计算机程序产品包括一个或多个计算机指令。在计算机上加载和执行所述计算机程序指令时,全部或部分地产生按照本申请实施例所述的流程或功能。所述计算机可以是通用计算机、专用计算机、计算机网络、或者其他可编程装置。所述计算机指令可以存储在计算机可读存储介质中,或者从一个计算机可读存储介质向另一个计算机可读存储介质传输,例如,所述计算机指令可以从一个网站站点、计算机、服务器或数据中心通过有线(例如同轴电缆、光纤、数字用户线(digital subscriber Line,DSL))或无线(例如红外、无线、微波等)方式向另一个网站站点、计算机、服务器或数据中心进行传输。所述计算机可读存储介质可以是计算机能够读取的任何可用介质或者是包含一个或多个可用介质集成的服务器、数据中心等数据存储设备。所述可用介质可以是磁性介质,(例如,软盘、硬盘、磁带)、光介质(例如,数字通用光盘(digital video disc,DVD))或者半导体介质(例如,固态硬盘(solid state disk,SSD))等。
以上所述,仅为本申请的具体实施方式,但本申请的保护范围并不局限于此,任何熟悉本技术领域的技术人员在本申请揭露的技术范围内,可轻易想到变化或替换,都应涵盖在本申请的保护范围之内。因此,本申请的保护范围应以所述权利要求的保护范围为准。

Claims (12)

1.一种仿真方法,其特征在于,所述方法用于对芯片进行仿真,以对所述芯片的功能进行验证,所述芯片包括按照流水线作业的多个模块,
所述方法包括:
运行第一测试激励,以对所述芯片进行第一层级仿真,其中所述第一层级仿真的待测设计包含多个第二层级仿真的待测设计,所述多个第二层级仿真的待测设计与所述多个模块一一对应;
响应于所述第一层级仿真出现仿真错误,根据所述第一测试激励生成所述多个模块的多个第二测试激励,所述多个第二测试激励用于对所述多个模块进行所述第二层级仿真,以模拟所述第一层级仿真,其中所述第二层级仿真相对所述第一层级仿真在所述芯片的仿真层级中的层级较低;
运行所述多个第二测试激励,以确定所述多个模块中的出现仿真错误的目标模块;
对所述目标模块进行分析,以对所述第一层级仿真和/或所述第二层级仿真进行错误定位。
2.根据权利要求1所述的仿真方法,其特征在于,所述根据所述第一测试激励生成多个模块的多个第二测试激励,包括:
获取所述第一测试激励的测试跟踪信息;
从所述测试跟踪信息中获取所述多个模块各自对应的信息;
根据所述多个模块各自对应的信息,生成所述多个第二测试激励。
3.根据权利要求2所述的仿真方法,其特征在于,所述测试跟踪信息包括以下信息中的一种或多种:指令状态信息,寄存器状态信息,以及存储状态信息。
4.根据权利要求3所述的仿真方法,其特征在于:
所述指令状态信息包括以下中的一种或多种:指令的程序计数器值,指令编码,指令助记符中的一种或多种;和/或
所述寄存器状态信息包括以下中的一种或多种:通用寄存器信息和系统寄存器信息;和/或
所述存储状态信息包括以下中的一种或多种:访存信息、页表信息以及存储过程记录的异常信息。
5.根据权利要求1所述的仿真方法,其特征在于:
所述第一层级仿真为所述芯片的系统级仿真,所述第二层级仿真为子系统级仿真或模块级仿真;或者,
所述第一层级仿真为所述芯片的子系统级仿真,所述第二层级仿真为模块级仿真。
6.一种仿真装置,其特征在于,所述仿真装置用于对芯片进行仿真,以对所述芯片的功能进行验证,所述芯片包括按照流水线作业的多个模块,
所述仿真装置包括:
第一运行模块,被配置为运行第一测试激励,以对所述芯片进行第一层级仿真,其中所述第一层级仿真的待测设计包含多个第二层级仿真的待测设计,所述多个第二层级仿真的待测设计与所述多个模块一一对应;
生成模块,被配置为响应于所述第一层级仿真出现仿真错误,根据所述第一测试激励生成所述多个模块的多个第二测试激励,所述多个第二测试激励用于对所述多个模块进行所述第二层级仿真,以模拟所述第一层级仿真,其中所述第二层级仿真相对所述第一层级仿真在所述芯片的仿真层级中的层级较低;
第二运行模块,被配置为运行所述多个第二测试激励,以确定所述多个模块中的出现仿真错误的目标模块;
分析模块,被配置为对所述目标模块进行分析,以对所述第一层级仿真和/或所述第二层级仿真进行错误定位。
7.根据权利要求6所述的仿真装置,其特征在于,所述生成模块进一步被配置为:
获取所述第一测试激励的测试跟踪信息;
从所述测试跟踪信息中获取所述多个模块各自对应的信息;
根据所述多个模块各自对应的信息,生成所述多个第二测试激励。
8.根据权利要求7所述的仿真装置,其特征在于,所述测试跟踪信息包括以下信息中的一种或多种:指令状态信息,寄存器状态信息,以及存储状态信息。
9.根据权利要求8所述的仿真装置,其特征在于:
所述指令状态信息包括以下中的一种或多种:指令的程序计数器值,指令编码,指令助记符中的一种或多种;和/或
所述寄存器状态信息包括以下中的一种或多种:通用寄存器信息和系统寄存器信息;和/或
所述存储状态信息包括以下中的一种或多种:访存信息、页表信息以及存储过程记录的异常信息。
10.根据权利要求6所述的仿真装置,其特征在于:
所述第一层级仿真为所述芯片的系统级仿真,所述第二层级仿真为子系统级仿真或模块级仿真;或者,
所述第一层级仿真为所述芯片的子系统级仿真,所述第二层级仿真为模块级仿真。
11.一种计算设备,其特征在于,包括:
存储器,用于存储代码;
处理器,用于执行所述存储器中存储的代码,以执行如权利要求1-5中任一项所述的方法。
12.一种计算机可读存储介质,其特征在于,其上存储有用于执行如权利要求1-5中任一项所述的方法的代码。
CN202211330613.2A 2022-10-28 2022-10-28 仿真方法及装置、计算设备、计算机可读存储介质 Active CN115422865B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202211330613.2A CN115422865B (zh) 2022-10-28 2022-10-28 仿真方法及装置、计算设备、计算机可读存储介质

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202211330613.2A CN115422865B (zh) 2022-10-28 2022-10-28 仿真方法及装置、计算设备、计算机可读存储介质

Publications (2)

Publication Number Publication Date
CN115422865A true CN115422865A (zh) 2022-12-02
CN115422865B CN115422865B (zh) 2023-01-10

Family

ID=84207200

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202211330613.2A Active CN115422865B (zh) 2022-10-28 2022-10-28 仿真方法及装置、计算设备、计算机可读存储介质

Country Status (1)

Country Link
CN (1) CN115422865B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115656786A (zh) * 2022-12-09 2023-01-31 北京紫光芯能科技有限公司 一种芯片检测方法及装置

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101430736A (zh) * 2008-11-28 2009-05-13 北京航空航天大学 仿真系统中仿真结果的检验和状态确定方法
US20110066988A1 (en) * 2009-09-17 2011-03-17 International Business Machines Corporation Method, System, Computer Program Product, and Data Processing Program for Verification of Logic Circuit Designs Using Dynamic Clock Gating
CN106133537A (zh) * 2014-12-30 2016-11-16 京微雅格(北京)科技有限公司 一种fpga功能模块仿真验证方法及其系统
CN108090239A (zh) * 2016-11-23 2018-05-29 北京遥感设备研究所 一种基于tlm系统模型的分布式仿真方法
CN109815617A (zh) * 2019-02-15 2019-05-28 湖南高至科技有限公司 一种仿真模型驱动方法
CN111539651A (zh) * 2020-05-13 2020-08-14 中国电子科技集团公司第十四研究所 一种复杂电子装备多专业集成设计仿真系统
CN111639470A (zh) * 2020-05-28 2020-09-08 山东云海国创云计算装备产业创新中心有限公司 一种处理器协同芯片的仿真测试方法、系统及相关组件
CN114757142A (zh) * 2022-04-22 2022-07-15 山东云海国创云计算装备产业创新中心有限公司 自纠错芯片验证方法、装置、设备及计算机可读介质
CN115238619A (zh) * 2022-09-20 2022-10-25 北京数字光芯集成电路设计有限公司 数字芯片的子模块后仿真方法和系统

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101430736A (zh) * 2008-11-28 2009-05-13 北京航空航天大学 仿真系统中仿真结果的检验和状态确定方法
US20110066988A1 (en) * 2009-09-17 2011-03-17 International Business Machines Corporation Method, System, Computer Program Product, and Data Processing Program for Verification of Logic Circuit Designs Using Dynamic Clock Gating
CN106133537A (zh) * 2014-12-30 2016-11-16 京微雅格(北京)科技有限公司 一种fpga功能模块仿真验证方法及其系统
CN108090239A (zh) * 2016-11-23 2018-05-29 北京遥感设备研究所 一种基于tlm系统模型的分布式仿真方法
CN109815617A (zh) * 2019-02-15 2019-05-28 湖南高至科技有限公司 一种仿真模型驱动方法
CN111539651A (zh) * 2020-05-13 2020-08-14 中国电子科技集团公司第十四研究所 一种复杂电子装备多专业集成设计仿真系统
CN111639470A (zh) * 2020-05-28 2020-09-08 山东云海国创云计算装备产业创新中心有限公司 一种处理器协同芯片的仿真测试方法、系统及相关组件
CN114757142A (zh) * 2022-04-22 2022-07-15 山东云海国创云计算装备产业创新中心有限公司 自纠错芯片验证方法、装置、设备及计算机可读介质
CN115238619A (zh) * 2022-09-20 2022-10-25 北京数字光芯集成电路设计有限公司 数字芯片的子模块后仿真方法和系统

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115656786A (zh) * 2022-12-09 2023-01-31 北京紫光芯能科技有限公司 一种芯片检测方法及装置

Also Published As

Publication number Publication date
CN115422865B (zh) 2023-01-10

Similar Documents

Publication Publication Date Title
US8555234B2 (en) Verification of soft error resilience
US11023362B2 (en) Co-verification of hardware and software
CN109189479B (zh) 一种用于处理器指令集的并行自动化验证方法
US20100180263A1 (en) Apparatus and method for detecting software error
US10936474B2 (en) Software test program generation
CN113901745A (zh) 芯片测试方法、装置、电子设备及计算机可读存储介质
CN115422865B (zh) 仿真方法及装置、计算设备、计算机可读存储介质
CN115684896B (zh) 芯片可测性设计测试方法、测试平台及其生成方法及装置
KR102013657B1 (ko) 연관된 다중 파일 정적 분석 장치
US20200074040A1 (en) Hierarchical expression coverage clustering for design verification
JP2002099584A (ja) 設計検証システム、設計検証方法および設計検証プログラムを格納したコンピュータ読取り可能な記録媒体
CN107329889B (zh) 一种c编译器自动化测试的方法
CN115656791B (zh) 芯片可测性设计的测试方法及测试平台
CN109902001B (zh) 对未初始化变量的检测方法及终端设备
US10579761B1 (en) Method and system for reconstructing a graph presentation of a previously executed verification test
CN115684894B (zh) 芯片可测性设计的测试方法及测试平台
CN109101355B (zh) 一种提取错误现场特征测试激励的处理器调试方法
Goli et al. Through the looking glass: Automated design understanding of SystemC-based VPs at the ESL
CN115964237A (zh) 一种针对中央处理器cpu的功能及性能测试方法及装置
Cantoro et al. Automated test program reordering for efficient SBST
CN108984386A (zh) 应用程序搜索的测试方法、装置及存储介质
US10769332B2 (en) Automatic simulation failures analysis flow for functional verification
US6968523B2 (en) Design method of logic circuit using data flow graph
US10060976B1 (en) Method and apparatus for automatic diagnosis of mis-compares
CN115510782B (zh) 定位验证错误的方法、电子设备和存储介质

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant