CN115204353A - Super-pixel generation and use - Google Patents

Super-pixel generation and use Download PDF

Info

Publication number
CN115204353A
CN115204353A CN202210317779.4A CN202210317779A CN115204353A CN 115204353 A CN115204353 A CN 115204353A CN 202210317779 A CN202210317779 A CN 202210317779A CN 115204353 A CN115204353 A CN 115204353A
Authority
CN
China
Prior art keywords
image
images
processor
data
coordinates
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202210317779.4A
Other languages
Chinese (zh)
Inventor
V·帕拉沙
V·翁格拉帕里
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nvidia Corp
Original Assignee
Nvidia Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nvidia Corp filed Critical Nvidia Corp
Publication of CN115204353A publication Critical patent/CN115204353A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N19/00Methods or arrangements for coding, decoding, compressing or decompressing digital video signals
    • H04N19/50Methods or arrangements for coding, decoding, compressing or decompressing digital video signals using predictive coding
    • H04N19/503Methods or arrangements for coding, decoding, compressing or decompressing digital video signals using predictive coding involving temporal prediction
    • H04N19/51Motion estimation or motion compensation
    • H04N19/513Processing of motion vectors
    • H04N19/521Processing of motion vectors for estimating the reliability of the determined motion vectors or motion vector field, e.g. for smoothing the motion vector field or for correcting motion vectors
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/10Segmentation; Edge detection
    • G06T7/11Region-based segmentation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • G06N3/088Non-supervised learning, e.g. competitive learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N5/00Computing arrangements using knowledge-based models
    • G06N5/04Inference or reasoning models
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T3/00Geometric image transformations in the plane of the image
    • G06T3/40Scaling of whole images or parts thereof, e.g. expanding or contracting
    • G06T3/4053Scaling of whole images or parts thereof, e.g. expanding or contracting based on super-resolution, i.e. the output image resolution being higher than the sensor resolution
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/10Segmentation; Edge detection
    • G06T7/12Edge-based segmentation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/20Analysis of motion
    • G06T7/223Analysis of motion using block-matching
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N19/00Methods or arrangements for coding, decoding, compressing or decompressing digital video signals
    • H04N19/10Methods or arrangements for coding, decoding, compressing or decompressing digital video signals using adaptive coding
    • H04N19/134Methods or arrangements for coding, decoding, compressing or decompressing digital video signals using adaptive coding characterised by the element, parameter or criterion affecting or controlling the adaptive coding
    • H04N19/136Incoming video signal characteristics or properties
    • H04N19/137Motion inside a coding unit, e.g. average field, frame or block difference
    • H04N19/139Analysis of motion vectors, e.g. their magnitude, direction, variance or reliability
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N19/00Methods or arrangements for coding, decoding, compressing or decompressing digital video signals
    • H04N19/10Methods or arrangements for coding, decoding, compressing or decompressing digital video signals using adaptive coding
    • H04N19/169Methods or arrangements for coding, decoding, compressing or decompressing digital video signals using adaptive coding characterised by the coding unit, i.e. the structural portion or semantic portion of the video signal being the object or the subject of the adaptive coding
    • H04N19/17Methods or arrangements for coding, decoding, compressing or decompressing digital video signals using adaptive coding characterised by the coding unit, i.e. the structural portion or semantic portion of the video signal being the object or the subject of the adaptive coding the unit being an image region, e.g. an object
    • H04N19/176Methods or arrangements for coding, decoding, compressing or decompressing digital video signals using adaptive coding characterised by the coding unit, i.e. the structural portion or semantic portion of the video signal being the object or the subject of the adaptive coding the unit being an image region, e.g. an object the region being a block, e.g. a macroblock
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N5/00Details of television systems
    • H04N5/14Picture signal circuitry for video frequency region
    • H04N5/144Movement detection
    • H04N5/145Movement estimation
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N7/00Television systems
    • H04N7/01Conversion of standards, e.g. involving analogue television standards or digital television standards processed at pixel level
    • H04N7/0135Conversion of standards, e.g. involving analogue television standards or digital television standards processed at pixel level involving interpolation processes
    • H04N7/014Conversion of standards, e.g. involving analogue television standards or digital television standards processed at pixel level involving interpolation processes involving the use of motion vectors
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/06Physical realisation, i.e. hardware implementation of neural networks, neurons or parts of neurons
    • G06N3/063Physical realisation, i.e. hardware implementation of neural networks, neurons or parts of neurons using electronic means
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • G06N3/084Backpropagation, e.g. using gradient descent
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/10Image acquisition modality
    • G06T2207/10016Video; Image sequence
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/20Special algorithmic details
    • G06T2207/20081Training; Learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/20Special algorithmic details
    • G06T2207/20084Artificial neural networks [ANN]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/20Special algorithmic details
    • G06T2207/20212Image combination
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N19/00Methods or arrangements for coding, decoding, compressing or decompressing digital video signals
    • H04N19/50Methods or arrangements for coding, decoding, compressing or decompressing digital video signals using predictive coding
    • H04N19/503Methods or arrangements for coding, decoding, compressing or decompressing digital video signals using predictive coding involving temporal prediction
    • H04N19/51Motion estimation or motion compensation
    • H04N19/513Processing of motion vectors
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N7/00Television systems
    • H04N7/01Conversion of standards, e.g. involving analogue television standards or digital television standards processed at pixel level
    • H04N7/0135Conversion of standards, e.g. involving analogue television standards or digital television standards processed at pixel level involving interpolation processes
    • H04N7/0142Conversion of standards, e.g. involving analogue television standards or digital television standards processed at pixel level involving interpolation processes the interpolation being edge adaptive
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N7/00Television systems
    • H04N7/015High-definition television systems
    • H04N7/0152High-definition television systems using spatial or temporal subsampling
    • H04N7/0155High-definition television systems using spatial or temporal subsampling using pixel blocks
    • H04N7/0157High-definition television systems using spatial or temporal subsampling using pixel blocks with motion estimation, e.g. involving the use of motion vectors

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Multimedia (AREA)
  • General Physics & Mathematics (AREA)
  • Signal Processing (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Artificial Intelligence (AREA)
  • Mathematical Physics (AREA)
  • Computational Linguistics (AREA)
  • Data Mining & Analysis (AREA)
  • Evolutionary Computation (AREA)
  • Software Systems (AREA)
  • Computing Systems (AREA)
  • General Engineering & Computer Science (AREA)
  • Biomedical Technology (AREA)
  • Molecular Biology (AREA)
  • General Health & Medical Sciences (AREA)
  • Biophysics (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Image Analysis (AREA)

Abstract

Disclosed are generation and use of superpixels, and in particular, apparatus, systems, and techniques for interpolating one or more intermediate images from two or more images. In at least one embodiment, the processor includes one or more circuits to interpolate one or more intermediate images from two or more images based at least in part on one or more inconsistent flow vectors corresponding to the two or more images.

Description

Super-pixel generation and use
Technical Field
At least one embodiment relates to processing resources for performing and facilitating artificial intelligence. For example, at least one embodiment relates to a processor or computing system for training and using a neural network in accordance with various novel techniques described herein.
Background
Superpixel algorithms represent useful and increasingly popular pre-processing steps for a wide range of computer vision applications, such as video interpolation, segmentation, tracking, multi-view object segmentation, scene streaming, image parsing, and frame rate up-conversion. Grouping similar pixels into so-called superpixels can result in a significant reduction in image primitives. This results in increased computational efficiency for subsequent processing steps, allows more complex algorithms that are computationally infeasible at the pixel level, and creates spatial support for region-based features. Existing techniques for superpixel generation are computationally expensive.
Drawings
FIG. 1A illustrates inference and/or training logic in accordance with at least one embodiment;
FIG. 1B illustrates inference and/or training logic in accordance with at least one embodiment;
FIG. 2 illustrates training and deployment of a neural network in accordance with at least one embodiment;
FIG. 3 is a block diagram of a system for generating superpixels using invalid optical flow data in accordance with at least one embodiment;
FIG. 4 is a flow diagram of a process for generating superpixels from an invalid optical flow, in accordance with at least one embodiment;
FIG. 5A illustrates an example image I1 in accordance with at least one embodiment;
FIG. 5B illustrates an example of a gradient map of image I1 in accordance with at least one embodiment;
FIG. 5C illustrates an image corresponding to an effective optical flow and an ineffective optical flow in accordance with at least one embodiment;
FIG. 6A is a block diagram illustrating a neighboring coordinate traversal data structure in accordance with at least one embodiment;
FIG. 6B is a flow diagram of a process of traversing neighboring coordinates to generate a superpixel in accordance with at least one embodiment;
fig. 6C illustrates an example of traversing neighboring coordinates with a radius S =2 in accordance with at least one embodiment;
FIG. 6D illustrates an example image of the traversal pixels of FIG. 6C in accordance with at least one embodiment;
FIG. 6E is a block diagram illustrating a graph with effective optical flow and ineffective optical flow in accordance with at least one embodiment;
FIG. 6F is a block diagram illustrating a graph with optical flow populated using generated superpixels in accordance with at least one embodiment;
FIG. 7 is a flow diagram of a process of interpolating an intermediate image from two or more images in accordance with at least one embodiment;
FIG. 8A is a flow diagram of a process of training a Deep Neural Network (DNN) in accordance with at least one embodiment;
FIG. 8B is a flow diagram of a process of performing a machine vision task, in accordance with at least one embodiment;
FIG. 9 illustrates an example data center system in accordance with at least one embodiment;
FIG. 10A illustrates an example of an autonomous vehicle in accordance with at least one embodiment;
FIG. 10B illustrates an example of camera positions and a field of view of the autonomous vehicle of FIG. 10A in accordance with at least one embodiment;
FIG. 10C is a block diagram illustrating an example system architecture of the autonomous vehicle of FIG. 10A, in accordance with at least one embodiment;
FIG. 10D is a diagram illustrating a system for communication between one or more cloud-based servers and the autonomous vehicle of FIG. 10A, in accordance with at least one embodiment;
FIG. 11 is a block diagram illustrating a computer system in accordance with at least one embodiment;
FIG. 12 is a block diagram illustrating a computer system in accordance with at least one embodiment;
FIG. 13 illustrates a computer system in accordance with at least one embodiment;
FIG. 14 illustrates a computer system in accordance with at least one embodiment;
FIG. 15A illustrates a computer system in accordance with at least one embodiment;
FIG. 15B illustrates a computer system in accordance with at least one embodiment;
FIG. 15C illustrates a computer system in accordance with at least one embodiment;
FIG. 15D illustrates a computer system in accordance with at least one embodiment;
15E and 15F illustrate a shared programming model in accordance with at least one embodiment;
FIG. 16 illustrates an example integrated circuit and associated graphics processor in accordance with at least one embodiment;
17A-17B illustrate an example integrated circuit and associated graphics processor, according to at least one embodiment;
18A-18B illustrate additional exemplary graphics processor logic, in accordance with at least one embodiment;
FIG. 19 illustrates a computer system in accordance with at least one embodiment;
FIG. 20A illustrates a parallel processor in accordance with at least one embodiment;
FIG. 20B illustrates a partition unit in accordance with at least one embodiment;
FIG. 20C illustrates a processing cluster in accordance with at least one embodiment;
FIG. 20D illustrates a graphics multiprocessor in accordance with at least one embodiment;
FIG. 21 illustrates a multiple Graphics Processing Unit (GPU) system in accordance with at least one embodiment;
FIG. 22 illustrates a graphics processor in accordance with at least one embodiment;
FIG. 23 is a block diagram illustrating a processor microarchitecture for a processor in accordance with at least one embodiment;
FIG. 24 illustrates a deep learning application processor in accordance with at least one embodiment;
FIG. 25 is a block diagram illustrating an example neuromorphic processor in accordance with at least one embodiment;
FIG. 26 illustrates at least a portion of a graphics processor in accordance with one or more embodiments;
FIG. 27 shows at least a portion of a graphics processor in accordance with one or more embodiments;
FIG. 28 illustrates at least a portion of a graphics processor in accordance with one or more embodiments;
FIG. 29 is a block diagram illustrating a graphics processing engine of a graphics processor, according to at least one embodiment;
FIG. 30 is a block diagram illustrating at least portions of a graphics processor core, according to at least one embodiment;
31A-31B illustrate thread execution logic including an array of processing elements of a graphics processor core in accordance with at least one embodiment;
FIG. 32 illustrates a parallel processing unit ("PPU") according to at least one embodiment;
FIG. 33 illustrates a general purpose processing cluster ("GPC") according to at least one embodiment;
FIG. 34 illustrates a memory partition unit of a parallel processing unit ("PPU") in accordance with at least one embodiment;
FIG. 35 illustrates a streaming multiprocessor in accordance with at least one embodiment;
FIG. 36 is an example data flow diagram of a high level computing pipeline in accordance with at least one embodiment;
FIG. 37 is a system diagram of an example system for training, adapting, instantiating and deploying a machine learning model in a high-level computing pipeline, according to at least one embodiment;
FIG. 38 includes an exemplary illustration of a deployment pipeline for processing imaging data in accordance with at least one embodiment;
fig. 39A includes an example data flow diagram of a virtual instrument supporting an ultrasound device in accordance with at least one embodiment; and
fig. 39B includes an example data flow diagram of a virtual instrument supporting a CT scanner in accordance with at least one embodiment.
Detailed Description
Inference and training logic
FIG. 1A illustrates inference and/or training logic 115 for performing inference and/or training operations associated with one or more embodiments. Details regarding inference and/or training logic 115 are provided below in conjunction with FIG. 1A and/or FIG. 1B.
In at least one embodiment, inference and/or training logic 115 may include, but is not limited to, code and/or data storage 101 for storing forward and/or output weights and/or input/output data, and/or other parameters that configure neurons or layers of a neural network trained and/or used for inference in aspects of one or more embodiments. In at least one embodiment, training logic 115 may include or be coupled to code and/or data storage 101 for storing graphics code or other software to control timing and/or sequence, with weight and/or other parameter information loaded to configure logic, including integer and/or floating point units (collectively Arithmetic Logic Units (ALUs) or simple circuits). In at least one embodiment, code (such as graph code) loads weights or other parameter information into the processor ALU based on the architecture of the neural network to which the code corresponds. In at least one embodiment, code and/or data store 101 stores weight parameters and/or input/output data for each layer of a neural network that is trained or used in connection with one or more embodiments during forward propagation of input/output data and/or weight parameters during aspect training and/or reasoning using one or more embodiments. In at least one embodiment, any portion of code and/or data store 101 may be included within other on-chip or off-chip data stores, including the L1, L2, or L3 caches of the processors or system memory.
In at least one embodiment, any portion of the code and/or data storage 101 may be internal or external to one or more processors or other hardware logic devices or circuits. In at least one embodiment, the code and/or data store 101 can be a cache memory, a dynamic random access memory ("DRAM"), a static random access memory ("SRAM"), a non-volatile memory (e.g., flash memory), or other storage. In at least one embodiment, the selection of whether the code and/or data store 101 is internal or external to the processor, for example, or comprised of DRAM, SRAM, flash, or some other memory type, may depend on the available memory space on or off chip, the latency requirements that training and/or reasoning functions are being performed, the batch size of the data used in reasoning and/or training for the neural network, or some combination of these factors.
In at least one embodiment, inference and/or training logic 115 may include, but is not limited to, code and/or data store 105 to store inverse and/or output weights and/or input/output data neural networks corresponding to neurons or layers of neural networks trained as and/or used for inference in aspects of one or more embodiments. In at least one embodiment, during aspect training and/or reasoning using one or more embodiments, the code and/or data store 105 stores the weight parameters and/or input/output data for each layer of the neural network that is trained or used in connection with the one or more embodiments during back propagation of the input/output data and/or weight parameters. In at least one embodiment, the training logic 115 may include or be coupled to a code and/or data store 105 for storing graph code or other software to control timing and/or order, where weights and/or other parameter information are loaded to configure logic including integer and/or floating point units (collectively Arithmetic Logic Units (ALUs)).
In at least one embodiment, code (such as graph code) causes weights or other parameter information to be loaded into the processor ALU based on the architecture of the neural network to which the code corresponds. In at least one embodiment, any portion of the code and/or data store 105 may include, among other on-chip or off-chip data stores, an L1, L2, or L3 cache or system memory of a processor. In at least one embodiment, any portion of the code and/or data storage 105 may be internal or external to one or more processors or other hardware logic devices or circuits. In at least one embodiment, the code and/or data store 105 may be cache memory, DRAM, SRAM, non-volatile memory (e.g., flash memory), or other storage. In at least one embodiment, the selection of whether the code and/or data store 105 is internal or external to the processor, e.g., is comprised of DRAM, SRAM, flash, or some other type of storage, depending on whether the available storage is on-chip or off-chip, the latency requirements of the training and/or reasoning functions being performed, the size of the data batch used in the reasoning and/or training of the neural network, or some combination of these factors.
In at least one embodiment, code and/or data store 101 and code and/or data store 105 can be separate storage structures. In at least one embodiment, code and/or data store 101 and code and/or data store 105 can be the same storage structure. In at least one embodiment, code and/or data store 101 and code and/or data store 105 can be partially combined and partially separated. In at least one embodiment, the code and/or data store 101 and any portion of the code and/or data store 105 may be included with other on-chip or off-chip data stores, including the L1, L2, or L3 cache or system memory of a processor.
In at least one embodiment, the inference and/or training logic 115 may include, but is not limited to, one or more arithmetic logic units ("ALUs") 110 (including integer and/or floating point units) for performing logical and/or mathematical operations based at least in part on or indicated by training and/or inference code (e.g., graph code), the results of which may result in activations (e.g., output values from layers or neurons internal to a neural network) stored in activation storage 120 that are a function of input/output and/or weight parameter data stored in code and/or data storage 101 and/or code and/or data storage 105. In at least one embodiment, activations stored in activation storage 120 are generated by linear algebra and/or matrix-based mathematics performed by ALU 110 in response to executing instructions or other code, where weight values stored in code and/or data storage 105 and/or code and/or data storage 101 are used as operands having other values, such as bias values, gradient information, momentum values or other parameters or hyper-parameters, any or all of which may be stored in code and/or data storage 105 or code and/or data storage 101 or other on-chip or off-chip storage.
In at least one embodiment, one or more ALUs 110 are included in one or more processors or other hardware logic devices or circuits, while in another embodiment, one or more ALUs 110 may be external to a processor or other hardware logic device or circuits that use them (e.g., a coprocessor). In at least one embodiment, one or more ALUs 110 may be included within an execution unit of a processor, or otherwise in a group of ALUs accessible by an execution unit of a processor, which may be within the same processor or distributed among different processors of different types (e.g., a central processing unit, a graphics processing unit, a fixed function unit, etc.). In at least one embodiment, the code and/or data store 101, the code and/or data store 105, and the activation store 120 may share a processor or other hardware logic device or circuit, while in another embodiment they may be in a different processor or other hardware logic device or circuit or some combination of the same and different processor or other hardware logic devices or circuits. In at least one embodiment, any portion of activation storage 120 may be included with other on-chip or off-chip data stores, including the L1, L2, or L3 cache of a processor or system memory. Further, inference and/or training code may be stored with other code accessible to a processor or other hardware logic or circuitry, and may be extracted and/or processed using the extraction, decoding, scheduling, execution, retirement, and/or other logic circuitry of the processor.
In at least one embodiment, activation store 120 may be cache memory, DRAM, SRAM, non-volatile memory (e.g., flash), or other storage. In at least one embodiment, activation storage 120 may be wholly or partially internal or external to one or more processors or other logic circuits. In at least one embodiment, whether the activation store 120 is internal or external to the processor, for example, or comprises DRAM, SRAM, flash, or other memory types, may be selected depending on the on-chip or off-chip available storage, the latency requirements for performing the training and/or reasoning functions, the batch size of the data used in reasoning and/or training the neural network, or some combination of these factors.
In at least one embodiment, the inference and/or training logic 115 shown in FIG. 1A may be used in conjunction with an application specific integrated circuit ("ASIC"), such as that from Google
Figure BDA0003569386470000071
Processing unit from Graphcore TM Or from the Intel corporation
Figure BDA0003569386470000072
(e.g., "Lake Crest") processor.In at least one embodiment, the inference and/or training logic 115 shown in fig. 1A may be used in conjunction with central processing unit ("CPU") hardware, graphics processing unit ("GPU") hardware, or other hardware, such as a field programmable gate array ("FPGA").
FIG. 1B illustrates inference and/or training logic 115 in accordance with at least one embodiment. In at least one embodiment, the inference and/or training logic 115 may include, but is not limited to, hardware logic in which computing resources are dedicated or otherwise uniquely used along with weight values or other information corresponding to one or more layers of neurons within a neural network. In at least one embodiment, the inference and/or training logic 115 illustrated in FIG. 1B can be used in conjunction with an Application Specific Integrated Circuit (ASIC), such as that from Google
Figure BDA0003569386470000081
Processing unit from Graphcore TM Or Inference Processing Unit (IPU) from Intel corporation
Figure BDA0003569386470000082
(e.g., "Lake Crest") processor. In at least one embodiment, the inference and/or training logic 115 illustrated in fig. 1B may be used in conjunction with Central Processing Unit (CPU) hardware, graphics Processing Unit (GPU) hardware, or other hardware, such as Field Programmable Gate Arrays (FPGAs). In at least one embodiment, inference and/or training logic 115 includes, but is not limited to, code and/or data store 101 and code and/or data store 105, which may be used to store code (e.g., graph code), weight values, and/or other information, including bias values, gradient information, momentum values, and/or other parameter or hyper-parameter information. In at least one embodiment shown in FIG. 1B, each of the code and/or data store 101 and the code and/or data store 105 is associated with dedicated computing resources (e.g., computing hardware 102 and computing hardware 106), respectively. In at least one embodiment, each of the computing hardware 102 and the computing hardware 106 includes one or more ALUs that are only paired for storage in the code and/or data store 101 and the code and/or data store 105, respectively Performs a mathematical function (e.g., a linear algebraic function) and the results of the performed function are stored in activation storage 120.
In at least one embodiment, each of the code and/or data storage 101 and 105 and the respective computing hardware 102 and 106 correspond to a different layer of the neural network, respectively, such that activation resulting from one storage/computing pair 101/102 of the code and/or data storage 101 and computing hardware 102 is provided as input to the next "storage/computing pair 105/106" of the code and/or data storage 105 and computing hardware 106 to reflect the conceptual organization of the neural network. In at least one embodiment, each storage/compute pair 101/102 and 105/106 may correspond to more than one neural network layer. In at least one embodiment, additional storage/computation pairs (not shown) may be included in inference and/or training logic 115 after or in parallel with storage computation pairs 101/102 and 105/106.
Neural network training and deployment
FIG. 2 illustrates training and deployment of a deep neural network in accordance with at least one embodiment. In at least one embodiment, the untrained neural network 206 is trained using the training data set 202. In at least one embodiment, the training data set 202 is generated using the techniques set forth below. In at least one embodiment, the training data set 202 is generated using a generative confrontation network (GAN) that generates synthetic images and an associated trained neural network that generates labels for the synthetic images generated by the GAN. In at least one embodiment, the training frame 204 is a PyTorch frame, while in other embodiments, the training frame 204 is a TensorFlow, boost, caffe, microsoft Cognitive Toolkit/CNTK, MXNet, chainer, keras, deeplerening 4j or other training frame. In at least one embodiment, the training framework 204 trains the untrained neural network 206 and enables it to be trained using the processing resources described herein to generate a trained neural network 208. In at least one embodiment, the weights may be randomly selected or pre-trained by using a deep belief network. In at least one embodiment, training may be performed in a supervised, partially supervised, or unsupervised manner.
In at least one embodiment, the untrained neural network 206 is trained using supervised learning, wherein the training data set 202 includes inputs paired with desired outputs for the inputs, or wherein the training data set 202 includes inputs having known outputs and the outputs of the neural network 206 are manually ranked. In at least one embodiment, the untrained neural network 206 is trained in a supervised manner, and the inputs from the training data set 202 are processed and the resulting outputs are compared to a set of expected or desired outputs. In at least one embodiment, the error is then propagated back through the untrained neural network 206. In at least one embodiment, the training framework 204 adjusts the weights that control the untrained neural network 206. In at least one embodiment, the training framework 204 includes tools for monitoring the extent to which the untrained neural network 206 converges to a model (e.g., the trained neural network 208), a model adapted to generate correct answers (e.g., results 214) based on input data (e.g., the new data set 212). In at least one embodiment, the training framework 204 iteratively trains the untrained neural network 206 while adjusting the weights to improve the output of the untrained neural network 206 using a loss function and an adjustment algorithm (e.g., stochastic gradient descent). In at least one embodiment, the training framework 204 trains the untrained neural network 206 until the untrained neural network 206 reaches a desired accuracy. In at least one embodiment, the trained neural network 208 may then be deployed to implement any number of machine learning operations.
In at least one embodiment, the untrained neural network 206 is trained using unsupervised learning, wherein the untrained neural network 206 attempts to train itself using unlabeled data. In at least one embodiment, unsupervised learning training data set 202 will include input data without any associated output data or "ground truth" data. In at least one embodiment, the untrained neural network 206 may learn the groupings within the training data set 202 and may determine how the individual inputs relate to the untrained data set 202. In at least one embodiment, unsupervised training can be used to generate a self-organizing map in the trained neural network 208 that can perform operations useful for reducing the dimensionality of the new data set 212. In at least one embodiment, unsupervised training may also be used to perform anomaly detection, which allows for identification of data points in new data set 212 that deviate from the normal pattern of new data set 212.
In at least one embodiment, semi-supervised learning may be used, which is a technique in which a mixture of labeled and unlabeled data is included in the training data set 202. In at least one embodiment, the training framework 204 can be used to perform incremental learning, for example, through a transitional learning technique. In at least one embodiment, incremental learning enables the trained neural network 208 to adapt to the new data set 212 without forgetting the knowledge injected into the trained neural network 208 during initial training.
Superpixel generation
Current superpixel generation techniques search for pixels with consistent and inconsistent flow vectors from the center pixel coordinates through a window of adjacent pixels. Some superpixel techniques use queues to traverse neighboring pixels. Queue-based techniques queue neighboring pixels to determine whether the neighboring pixels should be in the same superpixel. Queues can increase local memory consumption in various Graphics Processing Unit (GPU) architectures, requiring each processing thread to maintain a separate queue to traverse neighboring pixels of a center pixel if the pixel is processed in parallel by multiple processing threads. The queue may not fit into an on-chip register and therefore may overflow to off-chip global memory. This may result in lower memory bandwidth usage and reduced runtime efficiency.
In at least one embodiment, the superpixel generation technique does not use queues to traverse neighboring pixels. Instead, the relative neighboring coordinates of the center coordinate (or the distance of the center coordinate) are stored in an array. In at least one embodiment, the size of the array used is 64. In at least one embodiment, the order of traversal is stored in one or more buffers. In at least one embodiment, the u int64 buffer is used to store the order of pixel traversals, where the bits in the u int64 buffer correspond to the pixel coordinates in the array. In at least one embodiment, a buffer is used to store the order of pixel traversal, where bits in the buffer correspond to pixel coordinates in an array of size 64. In at least one embodiment, the proposed superpixel generation technique avoids traversing neighboring pixels to assign pixels to superpixel clusters based on a queue-based implementation.
In at least one embodiment, image intensity and optical flow are used for superpixel generation simultaneously or concurrently. In at least one embodiment, the invalid stream may be used as a candidate for an image segmentation algorithm and/or superpixel generation, rather than using all coordinates for the image segmentation algorithm and/or superpixel generation, which improves the efficiency of superpixel generation. An example of an image segmentation algorithm in the optical flow context is the Simple Linear Iterative Clustering (SLIC) algorithm.
In at least one embodiment, the superpixel generation technique traverses neighboring pixels starting from a center position until an edge point or a specified boundary (e.g., a 2S × 2S window boundary, where S is the window radius) is reached. By stopping at the edge points, the computation can be reduced. Possibly stopping early in the traversal results in better performance.
FIG. 3 is a block diagram of a system for generating superpixels using invalid optical flow data in accordance with at least one embodiment. The system 300 may be executed by a data system, such as the data center 900 of fig. 9, or by a vision system having a GPU (graphics processing unit), a CPU (central processing unit), and/or by the inference and/or training logic 115 of fig. 1-2. System 300 may include hardware (e.g., processing device, circuitry, dedicated logic, programmable logic, microcode, hardware of a device, integrated circuits, etc.), software (e.g., instructions run or executed on a processing device), or a combination thereof.
Referring to fig. 3, the system 300 may include modules: downsamplers 301-303, forward stream generator 305, backward stream generator 307, stream comparator 309, invalid stream coordinate extractor/compressor 311, edge detector 313, superpixel generator 315, and upsampler 317. Some of the modules 301-317 may be integrated modules. For example, forward stream generator 305 and reverse stream generator 307 may be integrated modules.
The downsamplers 301-303 may receive the images (I1 'and I2') in the sequence of images and downsample the images by the scaling factor to generate the images (I1 and I2). In at least one embodiment, images I1 'and I2' may be digital images and/or color images, such as RGB (red-green-blue) color images. Images I1 'and I2' may be still images, video images, or real-time captured images from a vision system. Downsampling an image scales the image to a manageable size by reducing the pixel size of the image. In at least one embodiment, if the image is scaled by a factor less than 1, traversing neighboring pixels to generate a superpixel may use pixels that are far from the center of the superpixel, as discussed further below. For example, if the size of the image is 800x800 pixels, downsampling by a factor of 1/2 may provide an image of 400x400 pixels. In at least one embodiment, the image may be downsampled by periodically discarding some rows/columns from the image. Other downsampling techniques may include bicubic downsampling, average downsampling, and the like.
Forward stream generator 305 can receive images (I1 and I2, or if downsampling is not performed, images I1 'and I2') and use images I1 and I2 (or I1 'and I2') to obtain forward optical flow data (F) 1-2 ). The optical flow data (also referred to as flow data) includes optical flow vectors (also referred to as flow vectors) that indicate the direction and magnitude of movement of image pixel data from the first image to the second image. In at least one embodiment, the optical flow data indicates a direction and magnitude of movement of image pixel data in a two-dimensional (2D) image plane. In particular, pixel movement may be tracked by assuming that the pixels maintain the same intensity and/or color in both image frames and move very little (e.g., a few pixels). In other words, a particular color/intensity of a particular pixel or edge of an object in a first frame may be moved to a different pixel in a second frame. In at least one embodiment, the color/intensity may be associated with an object in the scene that moves between the first and second image frames. Alternatively, the camera position used to capture the scene may change (translate) between the first frame and the second frame such that the object appears to move between image frames. Forward optical flow data representationAn optical flow vector from I1 to I2 (or I1 'to I2'), where I1 (and I1 ') is the image at time t and I2 (and I2') is the image at time t + 1.
The backward flow generator 307 may receive the images I1 and I2 (or I1 'and I2'), and obtain backward optical flow data (F) using the images I1 and I2 (or I1 'and I2') 2-1 ). The inverse optical flow data represents the optical flow vectors from I2 to I1 (or I2 'to I1'), where I1 and I1 'are the images at time t, and I2' are the images at time t + 1.
Various techniques may be used to obtain forward/reverse optical flow data. In at least one embodiment, the forward and reverse optical flow data can be obtained using a forward-reverse constraint equation, assuming that the luminance of the pixels is constant, e.g., the luminance of the pixels is not affected by pixel motion. For example, assuming that the pixel at (x, y) has a constant intensity, its continuity equation may be:
I(x,y,t)–I(x+dx,y+dy,t+dt)=0 (1)
where I (x, y, t) is the pixel intensity at time t, and I (x + dx, y + dy, t + dt) is the intensity of the same pixel that is assumed to be at (x + dx, y + dy) at time t + dt.
According to equation (1), the forward and reverse constrained motion equations may be expressed as:
I t (x,y)=I t+dt (x+dx 2 ,y+dy 2 ) And (2)
I t+dt (x,y)=I t (x-dx 1 ,y-dy 1 )。 (3)
The taylor series expansion of equations (2) and (3) provides:
Figure BDA0003569386470000121
Figure BDA0003569386470000122
equations (4) and (5) can be rewritten as:
Figure BDA0003569386470000123
Figure BDA0003569386470000131
or the like, or a combination thereof,
Figure BDA0003569386470000132
Figure BDA0003569386470000133
wherein
Figure BDA0003569386470000134
Figure BDA0003569386470000135
And
Figure BDA0003569386470000136
here, the first and second liquid crystal display panels are,
Figure BDA0003569386470000137
and
Figure BDA0003569386470000138
is the derivative of the image at (x, y, t);
Figure BDA0003569386470000139
and
Figure BDA00035693864700001310
is the derivative of the image at (x, y, t + 1), (u) 2 ,v 2 ) Is a forward motion vector, (u) 1 ,v 1 ) Is an inverse motion vector.
Equations (8) and (9) can be simply rewritten as:
Figure BDA00035693864700001311
Figure BDA00035693864700001312
thus, equations (10) and (11) may be solved numerically to estimate forward and reverse motion (or flow) vectors, where a forward motion vector represents the amount a pixel has moved from a first image (time t) to a second image (time t + dt) and a reverse motion vector represents the amount a pixel has moved from the second image (time t + dt) to the first image (time t).
The flow comparator 309 may receive the forward and reverse optical flow data and perform a comparison between the forward and reverse optical flow data for each optical flow vector in the forward flow data to determine coherent and non-coherent optical flow data. The uniform optical flow data having forward optical flow data F 1-2 And backward optical flow data F 2-1 With flow vectors matching (or approximately matching) the field size and field direction. The inconsistent stream data having at least forward light stream data F 1-2 And backward optical flow data F 2-1 A mismatch in at least one of field magnitude or field direction therebetween.
Forward optical data F having a flow vector in the forward time direction for various reasons 1-2 Inverse optical data F with flow vectors having an inverse temporal direction 2-1 The comparison may not be consistent. Some reasons include false or edge detection of objects, such as occlusion, blurred images, noisy images, or thin objects. For example, for occlusion, if an object is not present in frame I1 at time t, and the object enters frame I2 at time t +1, the optical flow vectors corresponding to the objects from I1 through I2 may not coincide with the flow vectors corresponding to the objects from frames I2 through I1 because I1 lacks the pixel information of the objects. Thus, the forward and reverse optical-flow data are two separate sets of optical-flow information and may not be consistent. Inconsistent optical flow data between forward optical flow data and reverse optical flow data is unreliable.
In at least one embodiment, the invalid optical-flow data may be replaced or "populated" with valid optical-flow data from surrounding pixels having similar characteristics. In at least one embodiment, surrounding pixels with similar characteristics are pixels in the same superpixel. An embodiment of generating a superpixel is further discussed in fig. 6.
In at least one embodiment, the null flow coordinate extractor/compressor 311 may extract or compress pixel coordinates (e.g., null optical flow coordinates) corresponding to the location of a light field having unreliable/inconsistent optical flow data. In at least one embodiment, the invalid flow coordinate extractor/compressor 311 generates an invalid optical-flow coordinate graph that includes pixel coordinates associated with invalid optical-flow data. The invalid optical-flow coordinate graph may include (x, y) coordinates corresponding to pixel locations of the invalid optical-flow data. In at least one embodiment, the invalid stream coordinate extractor/compressor 311 performs parallel stream compression to remove valid stream coordinates and maintain invalid stream coordinates.
In at least one embodiment, the edge detector 313 may receive the image (I1 or I1 ') and perform edge detection on the image I1 or I1' to generate a gradient map or other edge detection map. Various techniques for detecting discontinuities in intensity in an image may be used for edge detection. In at least one embodiment, the laplacian of gaussian edge detection algorithm can be used to generate the gradient map.
Superpixel generator 315 may receive a gradient map or other edge detection data, invalid flow coordinates (e.g., an invalid optical flow coordinate map), and images I1 and I2 (or images I1 'and I2') to generate one or more superpixels. A super-pixel corresponds to a group of cells that share one or more common characteristics, such as pixel intensity. In at least one embodiment, superpixel generator 315 outputs one or more images (O1) that include superpixels. In at least one embodiment, O1 is a two-dimensional (2D) array structure with coordinates corresponding to the pixel size of the input image I1 (or I'). Each cell in the two-dimensional array may contain an integer value that identifies the superpixel to which the cell belongs.
In at least one embodiment, superpixel generator 315 may use the invalid stream coordinates as center/candidate coordinates for superpixel generation. In at least one embodiment, generating the superpixel includes traversing neighboring pixels up to a radius (S) around a superpixel center location. In at least one embodiment, the neighboring pixels are coordinates with valid and/or invalid optical flow vectors.
In at least one embodiment, superpixel generator 315 may traverse neighboring pixels to determine whether the neighboring pixels belong to the same superpixel as the center pixel, e.g., the neighboring pixels are similar in some characteristic to the center pixel. In at least one embodiment, the neighboring pixels are similar to the center pixel if a predetermined criterion is met. In at least one embodiment, the predetermined criterion is met if the absolute difference between the intensities of the neighboring pixels and the central pixel is below a first predetermined threshold and the absolute difference between the gradients of the neighboring pixels and the central pixel is below a second predetermined threshold.
|I Center -I Neighbor |<I Threshold &|G Center -G Neighbor |<GT hreshold →S Member
Wherein I center Is the intensity of the central pixel, I neighbor Is the intensity, G, of the neighboring pixel under consideration center Is the gradient of the central pixel, G neighbor Is the gradient, S, of the adjacent pixel under consideration member Meaning that the neighboring pixels are members of a superpixel.
In at least one embodiment, the image may be pre-processed and converted to the CIE-lab color space. In one embodiment, the neighboring pixels are similar to the central pixel if a predetermined criterion is met, wherein the predetermined criterion may be:
G Neighbor (x,y)<G Threshold ,G(x,y)=||I(x+1,y)-I(x-1,y)|| 2 +||I(x,y+1)-I(x,y-1)|| 2 →S Member
where I (x, y) is the laboratory vector corresponding to the pixel at location (x, y), and iiii is the L2 norm.
In at least one embodiment, if the above criteria are met, superpixel generator 315 may assign one or more neighboring pixels to the same superpixel as the center pixel. For example, pixel coordinates belonging to the same superpixel are assigned the same integer value in an array data structure that stores superpixel information. In at least one embodiment, superpixel generator 315 may use a separate processing thread to traverse neighboring pixels to obtain one or more superpixel center/candidate coordinates. In at least one embodiment, a superpixel candidate may be selected as an invalid stream coordinate. Here, the superpixel generator 315 may process a plurality of invalid stream coordinates in parallel.
In at least one embodiment, instead of using a queue to store the locations of neighboring pixels for traversal, superpixel generator 315 may use the bits of one or more buffers to store neighboring coordinates. In at least one embodiment, one or more 64-bit buffers are used to store adjacent coordinates. In at least one embodiment, an 8-bit buffer, a 16-bit buffer, a 32-bit buffer, a 128-bit buffer, or other sized buffer is used. Bits of at least one buffer (e.g., a 64-bit buffer) may reference indices in a constant array data structure that map to adjacent coordinates of one or more adjacent pixels. In at least one embodiment, the bits of the at least one buffer reference indices in a data structure that map to neighboring coordinates of S =3 neighboring pixels for the pixel, where S is a radius of the superpixel traversal pattern. The data structure and buffers are further discussed with reference to fig. 6.
In at least one embodiment, upsampler 317 may upsample an image (O1) containing superpixel information by a Scaling Factor (SF) corresponding to downsamplers 301-303, where O1 has superpixel information. For example, if images I1' and I2' of size 800 × 800 pixels are downsampled with SF =1/2, the output image O1' may be correspondingly upsampled from size 400 × 400 to 800 × 800 pixels to generate the image O1. In this case, the superpixel traversal at output O1' may cover twice the radius (S) compared to O1 to determine superpixel clusters with similar characteristics.
FIG. 4 is a flow diagram of a process for generating superpixels from an invalid optical flow, according to at least one embodiment. Method 400 may be performed by processing logic that may comprise hardware (e.g., processing device, circuitry, dedicated logic, programmable logic, microcode, hardware of the device, integrated circuitry, etc.), software (e.g., instructions run or executed on a processing device), or a combination thereof. In at least one embodiment, the method 400 is performed by the system 300 of FIG. 3. Although shown in a particular sequence or order, the process order may be modified unless otherwise indicated. Thus, the illustrated embodiments should be understood only as examples, and the illustrated processes may be performed in a different order, and some processes may be performed in parallel. Further, one or more processes may be omitted in various embodiments. Thus, not all processes are required in every embodiment. Other process flows are possible.
In operation 401, processing logic receives a first image (I1) and a second image (I2) from a sequence of images. The images (I1-I2) may be two video frames provided by the vision system, where I1 is the first frame at time t and I2 is the second frame at time t + 1.
In operation 403, processing logic obtains forward optical flow data (F) computed starting from the first image I1 and transitioning to the second image I2 1-2 ). The forward optical flow data may contain a plurality of optical flow vectors, where each optical flow vector indicates a direction and magnitude of movement of the image data from the first image I1 to the second image I2.
In operation 405, processing logic obtains inverse optical flow data (F) computed starting from the second image I2 and transitioning to the first image I1 2-1 ). The backward optical flow data may contain a plurality of flow vectors, wherein each flow vector indicates a direction and magnitude of movement of the image data from the second image (I2) to the first image (I1).
At operation 407, processing logic determines candidate pixel coordinates having inconsistent optical-flow vectors based on the forward and reverse optical-flow data. In at least one embodiment, the pixel coordinates where the forward and reverse optical flow vectors do not match are designed to be the coordinates of the inconsistent optical flow vectors.
At operation 409, processing logic performs edge detection on image I1 and/or image I2. In at least one embodiment, processing logic generates a gradient map for the first image I1 and/or I2, where the gradient map contains edge information. In at least one embodiment, the gradient map delineates edges (image discontinuities) in the first image I1 and/or the second image I2.
At operation 411, processing logic generates a plurality of superpixels starting from candidate pixel coordinates based on the gradient map and intensity data from the first image I1 and/or the second image I2. As described above, in at least one embodiment, the candidate pixel coordinates are coordinates associated with inconsistent optical-flow vectors.
In at least one embodiment, processing logic may use the candidate pixel coordinates as the starting coordinates. For each start coordinate, processing logic may traverse a predetermined radius S of neighboring pixels, e.g., S =3 pixels, from the start coordinate to find the pixel connected to the start coordinate. In at least one embodiment, a pixel is connected to the start coordinate if the gradient distance (or gradient difference) between the center pixel and the neighboring pixel is below a first predetermined threshold and/or the intensity distance (or intensity difference) is below a second predetermined threshold. In at least one embodiment, the processing logic outputs a two-dimensional array of integer values having the same dimensions as the image I1 and/or the image I2, wherein the coordinates in the two-dimensional array are integer values in which the same integer values belong to the same superpixel. In at least one embodiment, processing logic outputs a one-dimensional (1D) bit field array (64 bits, 128 bits, or other size) in which each index in the bit field represents an adjacent position. In at least one embodiment, the size of the array is equal to the count of invalid streams/pixels.
In at least one embodiment, processing logic uses multiple processing threads to process candidate pixel coordinates in parallel, where each candidate pixel coordinate may be processed by a separate processing thread. In at least one embodiment, rather than queuing adjacent pixel coordinates for traversal, a processing thread may access one or more buffers (e.g., one or more 64-bit buffers) and a two-dimensional array data structure in constant memory to determine traversal coordinates. In at least one embodiment, bits in one or more 64-bit buffers (e.g., next _ level 620 and/or current _ level 630 in fig. 6A) are set to an index (neighbor _ coordinate 610 in fig. 6A) that references a (reference) 2D array data structure, where the 2D array data structure contains a number of predefined coordinates within a radius S =3, or any other suitable radius value, as further described with respect to fig. 6A-D. For example, the value "510" is ". 0001.. 1111 1110" in a 64-bit binary representation. The value "510" may reference adjacent coordinates contained in array [1]. Array [8], e.g., bits set at indices 1 through 8.
FIG. 5A illustrates an example image 500 in accordance with at least one embodiment. FIG. 5B illustrates an example of a gradient map 510 of an image 500 in accordance with at least one embodiment. For illustration purposes, the image 500 may be the image I1 at time = t. The object in image 500 may move from image frame I1 to the next image frame I2. The gradient map 510 of fig. 5B shows gradient magnitudes that delineate edges detected in the image 500. The gradient map 510 may be the output of the edge detector 313 of fig. 3.
In at least one embodiment, to generate the gradient map, a gaussian blur may be applied to the image 500 to remove image noise, and then a laplacian algorithm or any other edge detection algorithm may be applied to the image 500 to determine the gradient magnitude. As shown in the gradient map 510, the bright areas represent object boundaries/edges where the color or brightness changes abruptly in the image.
FIG. 5C illustrates an image corresponding to valid and invalid optical flow in accordance with at least one embodiment. The image 520 may represent the output from the stream comparator 309 of fig. 3. In image 520, segment 521 with white pixel data corresponds to invalid stream coordinates. Segment 523 with non-white pixel data is the image pixel corresponding to the effective stream coordinates. Each non-white pixel segment 523 in the image 520 has matching flow vectors between the forward and reverse optical flow data. The invalid stream coordinate extractor/compressor 311 may receive the image 520 and parse the invalid stream (x, y) coordinates corresponding to the segment 521 (white pixels) in the image. The resolved invalid stream coordinates corresponding to segment 521 may be an array of (x, y) coordinates.
Superpixel generation has been described with reference to generating superpixels using optical flow data, and in particular, generating superpixels using invalid or inconsistent optical flow data. In at least one embodiment, invalid pixel information is used in place of or in addition to the inconsistent optical flow data to generate superpixels, as will be described in more detail below. In at least one embodiment, superpixels are generated without relying on inconsistent stream data and/or invalid pixel data, as will be described in greater detail below.
Data structure
In at least one embodiment, one or more neighboring coordinate traversal data structures are used to determine neighboring pixels for traversing the candidate center pixels to determine a traversal pattern for the superpixel. In at least one embodiment, the system 300 of FIG. 3 may traverse neighboring coordinates (e.g., 2S x 2S neighboring coordinates) from the candidate center coordinate position (x, y) using the data structures 610-640.
In fig. 6A, referring to block 640, the number of levels (S) =3 specifies a count of S levels (levels) to traverse, where S is the traversal radius. In at least one embodiment, the level of traversal is in order from 1 to S, e.g., traversing neighboring pixels of access level =1, level =2, then level 3. In at least one embodiment, the traversal starts with a candidate coordinate of S =3. In at least one embodiment, S =3. In at least one embodiment, S has different values, such as 1, 2, 4, etc.
In FIG. 6A, a data structure 610 illustrates an array of adjacent coordinates. The neighboring coordinates may span 2 sx 2S neighboring traversal coordinates, where S =3, or any other integer value.
The data structure 620 may illustrate a first array of data buffers, where a set bit in the data structure 620 may reference an adjacent coordinate in the data structure 610. For example, next _ level [0] =510, and binary representation 510 is '… 0001 1111 1110'. The set position of next _ level [0] corresponds to data structure 610 indexes 1-8. Data structure 610[ 2 ], [1 … ] = { -1,0}, {0, -1}, {0,1}, {1,0}, { -1,1}, {1, -1}, {1,1}, { -1, -1} }.
The data structure 630 may illustrate a second array of data buffers, where a set bit in the data structure 630 may reference an adjacent coordinate in the data structure 610. For example, current _ level [15] =196608, and 196608 of the binary representation is '… 0011 0000 0000 0000 0000'. The set position of current _ level [15] corresponds to data structure 610 indexes 16-17. The data structure 610[ 2 ], [16-17] = { -1,2}, {1,2} }.
The data structure 640 may illustrate traversal patterns for each level from 1 to S. For example, for level number =3, level 1 traverses from level _ start _ index =1 to but does not include level _ stop _ index =9. Level 2 traverses from level _ start _ index =9 to but does not include level _ stop _ index =25. Level 3 traverses from level _ start _ index =25 to but does not include level _ stop _ index =49.
Traversal technology
Traversal coordinates may be specified in one or more buffers (e.g., one or more u int64 buffers), as explained further below. In at least one embodiment, the buffers include a first buffer for a current level traversal and a second buffer for a next level traversal. In at least one embodiment, the traversal of adjacent coordinates may be performed by a separate processing thread, as further illustrated in FIG. 6B.
FIG. 6B is a flow diagram of a process of traversing neighboring coordinates to generate a superpixel in accordance with at least one embodiment. Method 600 may be performed by processing logic that may comprise hardware (e.g., processing device, circuitry, dedicated logic, programmable logic, microcode, hardware of the device, integrated circuitry, etc.), software (e.g., instructions run or executed on a processing device), or a combination thereof. In at least one embodiment, the method 600 is performed by the system 300 of FIG. 3. Although shown in a particular sequence or order, the process order may be modified unless otherwise noted. Thus, the illustrated embodiments should be understood only as examples, and the illustrated processes may be performed in a different order, and some processes may be performed in parallel. Further, one or more processes may be omitted in various embodiments. Thus, not all processes are required in every embodiment. Other process flows are possible.
At operation 651, processing logic selects candidate coordinates for superpixel traversal. In at least one embodiment, the candidate coordinates include invalid stream coordinates. In at least one embodiment, the candidate coordinates include coordinates where a pixel is missing or invalid. In at least one embodiment, the candidate coordinates include predetermined coordinates spaced apart by a predetermined distance in the grid pattern, e.g., (2S × 2S, where S is the traversal radius).
In at least one embodiment, at operation 652, processing logic determines whether the candidate coordinate (x, y) is an edge coordinate by evaluating criteria gradient _ map (x, y) > GRAD _ TH, where gradient _ map (x, y) is the gradient at the candidate coordinate and GRAD _ TH is a predetermined gradient threshold, e.g., 10. In at least one embodiment, the edge coordinates indicate that the candidate coordinate may belong to a superpixel with other edge coordinates or a pixel with the same CIE Lab color value, e.g., if Lab _ diff < Lab _ TH, where Lab _ diff is the color difference between the candidate coordinate and an adjacent coordinate in CIE Lab color space, lab _ TH is a threshold. Non-edge coordinates indicate that the candidate coordinate may belong to a superpixel with other non-edge coordinates. In at least one embodiment, edge coordinates and non-edge coordinates are similarly processed.
At operation 653, processing logic instantiates one or more buffers to store the traversal coordinates. In at least one embodiment, a current level traversal coordinate buffer and a next level traversal coordinate buffer are instantiated. For example, processing logic may execute the variables: the agent 64_ t current _ level _ transition = next _ level [0] and next _ level _ transition =0 to instantiate two 64-bit buffers to store the current level traversal coordinate and the next level traversal coordinate.
At operation 655, processing logic identifies neighboring coordinates associated with the traversal coordinates of the current level. For example, current _ level _ transaction = next _ level [0] =510. The binary represented value 510 is '… 0001 1111 1110'. In at least one embodiment, processing logic identifies bits 1-8 corresponding to adjacent coordinates: [ (-1,0), (0, -1), (0,1), (1,0), (-1,1), (1, -1), (1,1), (-1, -1) ]. In at least one embodiment, the neighboring coordinates are assigned coordinates corresponding to the set bit in current _ level _ traffic. In at least one embodiment, adjacent coordinates are assigned the intersection of the coordinates of the set bit in current _ level _ traffic and the invalid stream coordinates. In other words, current _ level _ transaction is updated within the current level using the current _ level [ ] array. Next _ level _ traffic is updated within the current level using the Next _ level [ ] array.
At each adjacent coordinate, processing logic determines whether a predetermined criterion, 'CR', is satisfied at operation 657.
In at least one embodiment, the predetermined criterion 'CR' may be:
CR = abs _ gradient _ dist < GRAD _ TH and abs _ intensity _ dist < INTENSITY _ TH,
wherein abs _ intensity _ dist is the absolute difference between the intensity at the adjacent coordinates in the image I1 and the intensity at the candidate coordinates; INTENSITY _ TH is a predetermined intensity threshold, e.g., 10; abs _ gradient _ dist is the absolute difference of the gradient at the adjacent coordinate and the gradient at the candidate coordinate in the gradient map; GRAD _ TH is a predetermined gradient threshold.
In at least one embodiment, abs _ DEPTH _ dist < DEPTH _ TH may be evaluated instead of (abs _ intensity _ dist < INTENSITY _ TH) if a DEPTH map is available. Here, the depth map stores the distance to the object perceived in the image I1 or I2. The depth map adds distance information to 'CR' so that pixels on surfaces/objects with similar depths can be clustered into the same superpixel.
In at least one embodiment, if the LUV color format of the image I1 is available, L _ LUV _ dist < LUV _ TH can be evaluated instead of (abs _ intensity _ dist < INTENSITY _ TH). Here, LUVs may improve object detection in images with different pixel characteristics. Where L _ luv _ dist is the difference between the L channel value at the adjacent coordinate and the L channel value at the candidate coordinate.
At operation 659, processing logic identifies neighboring coordinates that meet the criterion 'CR' as being in the same superpixel cluster as the candidate coordinate.
Identifying neighboring coordinates belonging to the superpixel may include updating superpixel information for those neighboring coordinates. For example, superpixel information may be stored in a one-dimensional (1D) bit-field array (64 bits, 128 bits, or other size), where each index in the bit-field represents a neighboring location. In at least one embodiment, the size of the array is equal to the count of invalid streams/pixels. In at least one embodiment, superpixel information can be stored in a two-dimensional array data structure (corresponding to coordinates in image I1 or I2), where each cell has an integer value that identifies a particular superpixel. Processing logic may replace neighboring coordinates with the same integer value as the candidate coordinates, e.g., the same integer value means that the coordinates belong to the same superpixel cluster. Processing logic may identify neighboring coordinates that do not meet the criterion 'CR' and assign those neighboring coordinates to new/other superpixel clusters.
In at least one embodiment, at operation 661, processing logic determines additional current level coordinates and next level coordinates to traverse. For example, in at least one embodiment, processing logic evaluates: current _ level _ translation | = current _ level [ pos ], next _ level _ translation | = next _ level [ pos ], where pos is the variable that increments from level _ start _ index to level _ stop _ index for a particular level of traversal (data structure 640 of fig. 6A), and | = is a compound bitwise or operation.
Here, processing logic identifies additional current-level coordinates and next-level coordinates that are connected to a particular adjacent coordinate for further traversal. In at least one embodiment, identifying neighboring pixels that belong to a superpixel includes performing a bitwise OR operation between current _ level _ transition and current _ level [ pos ] and storing the result in current _ level _ transition and/or a bitwise OR operation between next _ level _ transition and next _ level [ pos ] and storing the result in next _ level _ transition. The purpose of these operations is similar to queuing additional current/next level coordinates for traversal using one or more 64-bit buffers stored in constant memory, but without using queues. Performing these operations without using queues may result in a large amount of memory usage.
At operation 663, processing logic continues to set the current level coordinates to the next level coordinates for traversal. For example, processing logic evaluates: current _ level _ traffic = next _ level _ traffic, and next _ level _ traffic =0. In other words, current _ level _ transaction is updated with next _ level _ transaction after the current level is completed.
At operation 665, processing logic determines whether the current level of traversal has reached a preset maximum level (e.g., S = 3). If the current level of traversal does not reach the preset maximum level, the process logic proceeds to operation 655 and repeats the traversal for the coordinates of the current level.
At operation 667, processing logic stops the superpixel traversal if the current level of the traversal has reached a preset maximum level.
In at least one embodiment, once one or more superpixels are generated, the superpixels can be merged/combined when the superpixel extension criteria are met, through superpixel traversal.
In at least one embodiment, the super-pixel extension criterion includes a predetermined number of overlapping pixels between two super-pixels being greater than a threshold (e.g., 10). In at least one embodiment, the superpixel expansion criterion includes a difference between a mean and/or a variance of two superpixels being less than a predetermined threshold.
In at least one embodiment, rather than using queues to traverse adjacent coordinates, which may result in a large memory usage of unbounded queues, where each processing thread is required to maintain a set of queues, the traversal is stored in one or more buffers, such as 64-bit buffers, where the one or more buffers refer to the 2D array of adjacent coordinates 610 of fig. 6A to obtain the adjacent coordinates. In at least one embodiment, the superpixel generation technique includes intensity and gradient values for pixels in evaluating whether neighboring pixels should be clustered into superpixels. In at least one embodiment, only the neighboring pixels of the invalid stream coordinate are traversed.
Example traversal
Fig. 6C illustrates an exemplary adjacent coordinate traversal with radius S =2 in accordance with at least one embodiment. In at least one embodiment, the traversal pattern is as follows:
in at least one embodiment, processing logic may have a traversal sequence in the following order: (0,0) → (-1,1) → [ (-2,2), (-1,2), (0,2) ] → (1,2), (2,2), (2,1), wherein the coordinates are identified as being in a superpixel. These coordinates are highlighted with S =2 in coordinates 670 in fig. 6C.
Here, the start candidate coordinate is (0,0). Processing logic calculates current _ level _ traffic =510 and next _ level _ traffic =0. Processing logic may traverse the coordinates corresponding to 510: [ (-1,0), (0, -1), (0,1), (1,0), (-1,1), (1, -1), (1,1), (-1, -1) ]. In at least one embodiment, processing logic may identify that the coordinate (-1,1) satisfies the 'CR' condition, and thus (-1,1) is in the same superpixel cluster as the candidate coordinate.
Next, processing logic evaluates current _ level _ transition | = current _ level [ pos ] and next _ level _ transition | = next _ level [ pos ]. Since 'pos' of coordinate (-1,1) corresponds to index 5 in adjacent coordinate 610, processing logic performs a compound bitwise OR operation of next _ level _ translation | = next _ level [5] and current _ level _ translation | = current _ level [5]. Since current _ level [5] =0, current _level _ traversal remains unchanged.
However, next _ level [5] =2196992, where 2196992 has the binary representation "0010 0001 10000 0110 0000 0000". Therefore, next _ level [5] corresponds to the coordinates { (-2,0), (-2,1), (0,2), (-1,2), (-2,2) }.
In at least one embodiment, processing logic may determine that the coordinates (-2,0) and (-2,1) are valid pixels. Thus, there is no need to traverse the coordinates (-2,0) and (-2,1). In at least one embodiment, processing logic evaluates the coordinates of the superpixel criteria 'CR' (2,0) and (-2,1) even though they are valid pixels. In at least one embodiment, coordinates (-2,0) and (-2,1) are traversed but coordinates connected to valid pixel coordinates (-2,0) and (-2,1) are not traversed for superpixel evaluation.
In at least one embodiment, processing logic may determine that the coordinates (-2,2) and (-1,2) are invalid pixels but do not meet the "CR" criteria. Thus, the coordinates (-2,2) and (-1,2) are not associated with the candidate pixel (0,0), and do not need to traverse the next level coordinate connected to (-2,2) and (-1,2).
In at least one embodiment, processing logic may determine that the coordinate (0,2) satisfies 'CR', and therefore, the coordinate (0,2) is in the same superpixel as the candidate coordinate. Although the coordinates (0,2) may still be connected to one of the unvisited pixels (1,2) of the current level. Here, 'pos' of the coordinate (0,2) corresponds to the index 15 specified in the two-dimensional array of adjacent coordinates 610. The current level coordinate associated with (0,2) may be determined using current _ level [ pos ]. In at least one embodiment, current _ level [15] =196608, where value 196608 has the binary value '0011 0000 0000 0000 0000'. In at least one embodiment, the binary value '0011 0000 0000 0000 0000' has a 16 th bit and a 17 th bit setting. Thus, current _ level [15] corresponds to the indices 16, 17 in the two-dimensional array, or adjacent coordinates [ (-1,2), (1,2) ]. Since the coordinate (-1,2) has been visited, but the coordinate (1,2) has not, at the same (current) level of traversal, only coordinate (1,2) is connected to coordinate (0,2).
Next, processing logic may determine the coordinates connected to (1,2). For example, the 'pos' of coordinate (1,2) corresponds to index 17 specified in the two-dimensional array of adjacent coordinates 610. The current level coordinate associated with (1,2) may be determined using current _ level [ pos ]. In at least one embodiment, current _ level [17] is equal to 9469952 where the value 9469952 has the binary value '1001 0000 1000 0000 0000 0000 0000'. In at least one embodiment, the binary value '1001 0000 1000 0000 0000 0000' sets bits 15, 20, and 23. Thus, current _ level [17] corresponds to indices 15, 20, and 23, or coordinates (0,2), (2,2), and (2,1) in two-dimensional array 610. Thus, processing logic determines that coordinates (0,2), (2,2), and (2,1) are connected to (1,2).
FIG. 6D illustrates an example image for traversal in FIG. 6C in accordance with at least one embodiment. Referring to FIG. 6D, candidate pixel 671 in image 675 corresponds to the coordinates of FIG. 6C (0,0). The adjacent pixel coordinates may be specified with respect to the candidate pixel 671. For example, pixel 672 may be an invalid coordinate traversed at level S =1 (-1,1). In at least one embodiment, pixel 673 at coordinate (-2,1) may be an active pixel traversed at level S =2 and pixel 673 is evaluated to determine whether pixel 673 is a super pixel. In at least one embodiment, pixel 673 may correspond to an active pixel and not traverse the active pixel for superpixel evaluation. In at least one embodiment, active pixel 673 is evaluated to determine whether pixel 673 is a superpixel, but pixels connected to active pixel 673 are not evaluated for superpixel criteria.
In one embodiment, pixel 674 at coordinate (-2,2) may be evaluated as not a superpixel, e.g., pixel 674 at coordinate (-2,2) (and coordinate (-1,2)) does not satisfy 'CR'. In at least one embodiment, the pixels connected to the coordinates (-2,2) (and coordinates (-1,2)) need not be evaluated. In at least one embodiment, pixels connected to non-superpixels may be evaluated.
In summary, in the above example, neighboring coordinates are traversed starting from some candidate pixel coordinates using one or more 64-bit buffers to generate superpixels, where bits of the one or more 64-bit buffers corresponding to the neighboring coordinates are used for the traversal. In at least one embodiment, there is no queue for adjacent coordinate traversal.
In at least one embodiment, the active pixels are evaluated for superpixel criteria, but the pixels connected to the active pixels are not evaluated for superpixel criteria. In at least one embodiment, if a pixel is not a superpixel, then neighboring pixels to such pixel are not evaluated against the superpixel criteria.
Filling in non-uniform light flow/pixels
In at least one embodiment, the padding technique may use the superpixel information corresponding to the invalid pixels and/or invalid streams to pad or repair the invalid pixels and/or invalid streams. For example, processing logic may determine a reference pixel (e.g., a pixel with valid optical flow) among pixels in a superpixel with invalid streams. In at least one embodiment, based on the reference pixels, processing logic may determine an optimal stream to copy to (or fill in) each of the one or more invalid streams. In at least one embodiment, the optimal flow is determined using the following flow fill equation that minimizes the energy function:
infilling_cost=(w1*warp_cost+w2*pixel_distance+w3*intensity_diff),
Where (w 1, w2, w 3) are weighting factors, warp _ cost is the difference between the intensity of the current pixel (X, Y) (e.g., for image I1) and the intensity of the warped pixel (X + optical flow X, Y + optical flow Y) (image I2); pixel _ distance is the distance of the candidate pixel from the reference pixel whose optical flow can be used to fill the candidate stream; intensity _ diff is the difference in Intensity of the candidate pixel and the reference pixel. Minimizing the optical-flow vector of the filling _ cost can be used to fill in invalid optical flows.
In at least one embodiment, instead of filling in the invalid stream, the following filling equation may be used to fill in images that lack some pixels or otherwise contain one or more invalid pixels (image filling). For example, superpixel traversal and evaluation techniques similar to those described above may be used to include candidate coordinates for invalid/missing pixels in an image. Once the superpixel information for the candidate coordinates and corresponding neighboring coordinates (e.g., S = 3) are determined, processing logic may fill in the invalid pixels using such superpixel information. In at least one embodiment, for invalid pixels within a superpixel, processing logic may use the valid pixels within such superpixel to determine the optimal pixel from the following pixel fill equation while minimizing the cost of the equation:
infilling_cost=(w4*pixel_distance+w5*intensity_diff)
Where (w 4, w 5) is a weight factor and pixel _ distance is the distance of the candidate pixel from the reference pixel; intensity _ diff is the difference in Intensity of the candidate pixel and the reference pixel.
FIG. 6D is a block diagram illustrating a graph with valid and invalid optical flow in accordance with at least one embodiment. FIG. 6E is a block diagram illustrating a graph with optical flow populated using generated superpixels in accordance with at least one embodiment. The image 680 may represent a graph having one or more pixels with an invalid stream 681 and an active stream 682, where a white patch (patch) (unfilled pixel) is the coordinate with the invalid stream 681 and a filled pixel is the coordinate with the active stream 682. Image 690 may be a padded version of image 680. Image 690 does not account for the invalid optical flow coordinates because pixels with invalid optical flow have been filled in with valid optical flow data 682 using a filling technique.
FIG. 7 is a flow diagram of a process of interpolating an intermediate image from two or more images in accordance with at least one embodiment. Method 700 may be performed by processing logic that may comprise hardware (e.g., processing device, circuitry, dedicated logic, programmable logic, microcode, hardware of the device, integrated circuitry, etc.), software (e.g., instructions run or executed on a processing device), or a combination thereof. In at least one embodiment, method 700 is performed by hardware architecture 115 of FIG. 1B, system 300 of FIG. 3, and/or data center 900 of FIG. 9. Although shown in a particular sequence or order, the order of processing may be modified unless otherwise indicated. Thus, the illustrated embodiments should be understood only as examples, and the illustrated processes may be performed in a different order, and some processes may be performed in parallel. Further, one or more processes may be omitted in various embodiments. Thus, not all processes are required in every embodiment. Other process flows are possible.
At operation 701, the processing logic determines one or more inconsistent flow vectors based on a comparison of forward optical flow data from the first image to the second image and backward optical flow data from the second image to the first image in the two or more images.
In at least one embodiment, processing logic may forward stream data (F) 1-2 ) And reverse flow data (F) 2-1 ) Inconsistent stream data is determined. For example, processing logic may obtain forward flow data (F) using two images (I1 and I2) 1-2 ) And reverse flow data (F) 2-1 ) As described in fig. 3-4. Processing logic may perform a comparison between pairs of forward and reverse optical flow vectors for each pair of corresponding forward and reverse flow vectors to determine coincident and non-coincident flow vectors. The flow field of a uniform flow has a matching (or approximately equivalent) field size and field direction between the forward and reverse flows. The inconsistent flow does not match at least in the field size or field direction between the forward and reverse optical flow vectors.
At operation 703, processing logic identifies a plurality of superpixels starting from coordinates of candidate pixels associated with the inconsistent flow vectors using the gradient map and intensity information from a first image of the two or more images.
In at least one embodiment, processing logic may receive an image (I1) and perform edge detection on the image to generate a gradient map. Various techniques for detecting discontinuities in intensity in an image may be used for edge detection. In at least one embodiment, the laplacian of gaussian edge detection algorithm can be used to generate the gradient map.
In at least one embodiment, processing logic generates an output image (O1) using the gradient map, the invalid stream coordinates, and the images I1 and I2, where O1 identifies a superpixel corresponding to the image I1. In at least one embodiment, O1 may be a two-dimensional (2D) array structure with coordinates corresponding to the pixel size of the input image I1. Each cell in the two-dimensional array may contain an integer value for identifying a superpixel, where the superpixel corresponds to a cluster of pixel cells having the same integer value. In at least one embodiment, O1 may be a one-dimensional (1D) bit-field array (64 bits, 128 bits, or other size) in which each index in the bit-field represents an adjacent position. In at least one embodiment, the size of the array is equal to the count of invalid streams/pixels.
To generate O1, processing logic may identify a superpixel cluster using the invalid stream coordinates as a center pixel. In at least one embodiment, identifying the superpixel includes traversing neighboring pixels up to a level of radius (S) around a center location of the superpixel. In at least one embodiment, the neighboring pixels are coordinates with only invalid streams, so the neighboring coordinate traversal is limited to invalid stream coordinates.
In at least one embodiment, processing logic may traverse the neighboring pixels to determine whether the neighboring pixels belong to the same superpixel as the central pixel, e.g., the neighboring pixels are similar in some characteristic to the central pixel. In at least one embodiment, the neighboring pixels are similar to the center pixel if the predetermined criteria is met. In at least one embodiment, the predetermined criteria as described above are used.
In at least one embodiment, if 'CR' is satisfied, processing logic may assign neighboring pixels to the same superpixel as the center pixel. For example, pixel coordinates belonging to the same superpixel are assigned the same integer value in an array data structure that stores superpixel information (e.g., O1). In at least one embodiment, processing logic outputs a one-dimensional (1D) bit-field array (64-bit, 128-bit, or other size) with a bit-field having one or more bits set to indicate that one or more mapping coordinates are located in the same superpixel. In at least one embodiment, the size of the array is equal to the count of invalid streams/pixels. In at least one embodiment, superpixel generator 315 may use a separate processing thread to traverse the neighboring pixels for each superpixel center. Here, processing logic may process multiple invalid stream coordinates in parallel.
At operation 705, processing logic populates the inconsistent flow vector with a plurality of superpixels.
For example, the invalid stream may be repaired or filled using superpixel information corresponding to the invalid stream. In at least one embodiment, processing logic may determine a reference pixel (a pixel with an active stream) among the pixels in the superpixel corresponding to the inactive stream. From the reference pixels, processing logic may determine an optimal stream to copy (or fill) the invalid stream to (or in) each pixel. In at least one embodiment, the optimal flow is determined using a flow stuffing technique.
At operation 709, the processing logic interpolates one or more intermediate images from the two or more images based on the one or more flow vectors (coincident flow vectors and/or flow vectors populated by operation 705) or a plurality of superpixels of the two or more images.
In at least one embodiment, a time difference between image I1 and image I2 is determined, and a portion of the time difference and a correction map of the determined optical-flow vectors are applied to image I1 to generate a new image I3, which is an interpolated image between I1 and I2. In at least one embodiment, a high-confidence flow vector as described herein is used to warp image I1 at half the temporal distance between image I1 and image I2. The same process (calculating the flow vector from image I2 to image I1 and then constructing the intermediate frame/image) can be applied in reverse temporal order to further improve interpolation. Additional post-processing may be used to construct the final interpolated video frame between I1 and I2.
In at least one embodiment, a single interpolated image is generated between the two images. In at least one embodiment, multiple interpolated images (e.g., two or three interpolated images) are generated between I1 and I2. In at least one embodiment, one or more interpolated images may be used with images I1 and I2 for frame rate up-conversion.
In at least one embodiment, processing logic performs frame rate up-conversion using optical flow vectors corrected as described herein, where each alternate frame is generated to double the effective frame rate of the video. The techniques improve the fluency of video playback or improve game/graphics rendering speed on low-end hardware.
As described above, the corrected optical flow data may be used to generate an interpolated image. The corrected optical flow data may also be used for many other purposes. For example, in at least one embodiment, a desired optical flow vector graph between pairs of images or frames can be paired with images and used to train one or more machine learning models. The optical flow vector graph provides auxiliary information that may be used to train one or more neural networks, such as a video post-processing network.
In at least one embodiment, the corrected optical flow data is used to generate a three-dimensional (3D) shape from the motion. In at least one embodiment, the corrected optical flow data is used to perform global motion compensation for camera stabilization and/or for video compression. In at least one embodiment, the corrected optical flow data is used to provide smoothing, for example in generating a countermeasure network, so that the generated output may appear to be coherent in time.
FIG. 8A is a flowchart of a process for training a Deep Neural Network (DNN), according to one embodiment. Method 800 may be performed by processing logic that may comprise hardware (e.g., processing device, circuitry, dedicated logic, programmable logic, microcode, hardware of a device, integrated circuitry, etc.), software (e.g., instructions run or executed on a processing device), or a combination thereof. In at least one embodiment, the method 800 is performed by the inference and/or training logic 115 of FIGS. 1-2. Although shown in a particular sequence or order, the order of the processes may be modified unless otherwise indicated. Thus, the illustrated embodiments should be understood only as examples, and the illustrated processes may be performed in a different order, and some processes may be performed in parallel. Further, one or more processes may be omitted in various embodiments. Thus, not all processes are required in every embodiment. Other process flows are possible.
In at least one embodiment, the training data set includes one or more images used to train the DNN. Such training images may be frames of a video stream depicting objects in the images, where the objects may be in motion. In at least one embodiment, the training data set may include images or frames of a video and optical flow data corresponding to transitions between the images. The optical flow data may be additional training input data that may be used to generate additional channel/feature maps to train the DNN. In at least one embodiment, the DNN may be trained to perform various visual tasks, such as image classification, image classification with localization, object detection of one or more objects in the input image, image generation (e.g., by GAN), object segmentation of objects in the input image, image reconstruction, image super-resolution, image synthesis, or other types of machine vision tasks.
At operation 801, the processing logic determines one or more flow vector graphs between two or more images in the training data set from the two or more images. In at least one embodiment, processing logic generates forward flow vectors and reverse flow vectors as discussed above. In at least one embodiment, at operation 803, processing logic determines one or more inconsistent optical-flow vectors corresponding to the two or more images.
In at least one embodiment, a training data set for training one or more neural networks includes a plurality of training data items, each of which includes one or more channels that include a forward and/or reverse flow of images of one or more images. Each training data item may comprise one or more additional channels comprising additional information about the image, wherein the plurality of forward and/or reverse flows of the image comprises a forward flow and a reverse flow for each pixel in said image, which may be represented as a flow map.
In at least one embodiment, processing logic may stream data from the forward direction (F) 1-2 ) And reverse flow data (F) 2-1 ) Inconsistent stream data is determined. For example, processing logic may obtain forward stream data (F) using two images (I1 and I2) 1-2 ) And reverse flow data (F) 2-1 ) As described in fig. 3-4. Processing logic may perform a comparison between each pair of corresponding forward and reverse optical flow vectors for the pair to determine coincident and non-coincident flow vectors. Coherent flow has a flow field with matching (or nearly matching) field size and field direction between forward and reverse flow. The non-uniform flow has at least a mismatch in field size or field direction between the forward flow and the reverse flow.
In at least one embodiment, at operation 805, processing logic estimates superpixels using the inconsistent flow vectors and performs padding to correct the inconsistent flow vectors in one or more flow vector graphs, as described above.
At operation 807, processing logic updates the training data set by updating one or more inconsistent flow vectors for images of the two or more images with one or more estimated flow vectors that estimate motion of objects depicted in the one or more images.
In at least one embodiment, processing logic may generate superpixel information starting from inconsistent stream coordinates. Processing logic may use the superpixel information to replace the inconsistent flow vectors with replacement flow vectors.
For example, processing logic may determine all valid streams in the same superpixel that correspond to invalid streams. Processing logic may determine the best stream from these active streams to copy to (or replace) the inactive stream. In at least one embodiment, the optimal flow is determined using the fill equation described above.
At operation 809, the processing logic trains one or more neural networks to perform a machine vision task using the training data set. In at least one embodiment, the processing logic may train the neural network model using a training data set to detect/classify objects within the image. In at least one embodiment, processing logic may train a deep learning network to perform one or more image-based tasks, examples of which are described above.
Fig. 8B is a flowchart of a process for performing a machine vision task, according to one embodiment. Method 850 may be performed by processing logic that may comprise hardware (e.g., processing device, circuitry, dedicated logic, programmable logic, microcode, hardware of the device, integrated circuitry, etc.), software (e.g., instructions run or executed on a processing device), or a combination thereof. In some embodiments, method 850 is performed by inference and/or training logic 115 of fig. 1-2, system 300 of fig. 3, data center 900 of fig. 9, and/or autonomous vehicle 1000 of fig. 10. Although shown in a particular sequence or order, the order of the processes may be modified unless otherwise indicated. Thus, the illustrated embodiments should be understood only as examples, and the illustrated processes may be performed in a different order, and some processes may be performed in parallel. Further, one or more processes may be omitted in various embodiments. Thus, not all processes are required in every embodiment. Other process flows are possible.
At operation 851, the processing logic receives two or more images generated by the imaging device.
The imaging device may be one or more camera devices of an imaging system, an autonomous vehicle, or the like. The images may be still images or some image frames from one or more video streams.
At operation 853, processing logic determines one or more inconsistent flow vectors corresponding to the two or more images.
Processing logic may obtain the forward stream (F) from the image pair (I1 and I2) 1-2 ). Forward flow (F) 1-2 ) A flow vector representing pixels in motion from I1 to I2 may be included, where I1 is the image at time t and I2 is the image at time t + 1. In at least one embodiment, onlyThere are some pixels in the image associated with the forward stream data. Processing logic may obtain the reverse flow (F) from the image pair (I1 and I2) 2-1 ). Reverse flow (F) 2-1 ) A flow vector representing pixels in motion from I2 to I1 may be included, where I1 is the image at time t and I2 is the image at time t + 1. Various techniques may be used to obtain the forward/reverse flow data.
In at least one embodiment, processing logic may forward stream data (F) 1-2 ) And reverse flow data (F) 2-1 ) Inconsistent flow vectors are determined. Processing logic may perform a comparison between the forward and reverse optical-flow pairs for each corresponding forward and reverse optical-flow pair to determine a correspondence between the forward and reverse optical flows. A uniform flow has a flow field with matching (or nearly matching) field size and field direction between the forward and reverse flows. The non-uniform flow vectors have at least a mismatch in field size or field direction between the forward and reverse flows.
At operation 855, the processing logic replaces the one or more inconsistent flow vectors with one or more estimated flows that estimate motion of an object depicted in the image.
In at least one embodiment, processing logic may generate superpixel information starting from the coordinates of the inconsistent flow vectors. Processing logic may use the superpixel information to replace each inconsistent flow vector with a replacement flow vector.
For example, processing logic may determine an active stream in the same superpixel that corresponds to an inactive stream. Processing logic may identify the best stream from these active streams to copy to (or replace) the invalid/inconsistent stream. In at least one embodiment, the optimal flow is identified using a fill equation as described in FIG. 7.
At operation 857, the processing logic generates a feature vector based on the one or more estimated flow vectors. For example, processing logic may combine one or more estimated flow vectors for replacement of inconsistent flow vectors and previously identified consistent flow vectors to generate feature vectors for an image. For example, the feature vector may include three channels (e.g., one for each color channel) for images captured by the imaging device, and one or more channels for optical flow vectors, where each optical flow vector includes a vector magnitude and a vector direction.
At operation 859, processing logic processes the feature vectors using one or more trained neural networks to perform the machine vision task. For example, processing logic may apply one or more layers of a neural network to a layer that includes feature vectors.
Data center
FIG. 9 illustrates an example data center 900 in which at least one embodiment can be used. In at least one embodiment, data center 900 includes a data center infrastructure layer 910, a framework layer 920, a software layer 930, and an application layer 940.
In at least one embodiment, as shown in fig. 9, data center infrastructure layer 910 can include resource coordinator 912, packet computing resources 914, and node computing resources ("node c.r.") 916 (1) -916 (N), where "N" represents a positive integer (which can be an integer "N" that is different from the integers used in other figures). In at least one embodiment, nodes c.r.916 (1) -916 (N) may include, but are not limited to, any number of central processing units ("CPUs") or other processors (including accelerators, field Programmable Gate Arrays (FPGAs), graphics processors, etc.), memory storage devices 918 (1) -918 (N) (e.g., dynamic read only memories, solid state drives, or disk drives), network input/output ("NW I/O") devices, network switches, virtual machines ("VMs"), power modules, and cooling modules, etc. In at least one embodiment, one or more of nodes c.r.916 (1) -916 (N) may be a server having one or more of the above-described computing resources.
In at least one embodiment, the grouped computing resources 914 can comprise individual groups (not shown) of node c.r. housed within one or more racks, or a number of racks (also not shown) housed within data centers at various geographic locations. In at least one embodiment, the individual groupings of node c.r. Within the grouped computing resources 914 may include computing, network, memory, or storage resources that may be configured or allocated as a group to support one or more workloads. In at least one embodiment, several nodes c.r. including CPUs or processors may be grouped within one or more racks to provide computing resources to support one or more workloads. In at least one embodiment, one or more racks can also include any number of power modules, cooling modules, and network switches, in any combination.
In at least one embodiment, resource coordinator 912 may configure or otherwise control one or more nodes c.r.916 (1) -916 (N) and/or grouped computing resources 914. In at least one embodiment, the resource coordinator 912 may include a software design infrastructure ("SDI") management entity for the data center 900. In at least one embodiment, the resource coordinator 112 may comprise hardware, software, or some combination thereof.
In at least one embodiment, as shown in FIG. 9, the framework layer 920 includes a job scheduler 922, a configuration manager 924, a resource manager 926, and a distributed file system 928. In at least one embodiment, the framework layer 920 can include a framework that supports software 932 of the software layer 930 and/or one or more applications 942 of the application layer 940. In at least one embodiment, the software 932 or applications 942 may include Web-based Services or applications, respectively, such as those provided by Amazon Web Services, google Cloud, and Microsoft Azure. In at least one embodiment, the framework layer 920 may be, but is not limited to, a free and open source software web application framework, such as Apache Spark (hereinafter "Spark") that may utilize the distributed file system 928 for large-scale data processing (e.g., "big data"). In at least one embodiment, job scheduler 922 may include a Spark driver to facilitate scheduling workloads supported by various layers of data center 900. In at least one embodiment, configuration manager 924 may be capable of configuring different layers, such as software layer 930 and framework layer 920 including Spark and distributed file system 928 for supporting large-scale data processing. In at least one embodiment, resource manager 926 is capable of managing the cluster or group of computing resources mapped to or allocated to support distributed file system 928 and job scheduler 922. In at least one embodiment, the cluster or group of computing resources may include group computing resources 914 on data center infrastructure layer 910. In at least one embodiment, the resource manager 926 may coordinate with the resource coordinator 912 to manage these mapped or allocated computing resources.
In at least one embodiment, software 932 included in software layer 930 may include software used by at least a portion of nodes c.r.916 (1) -916 (N), grouped computing resources 914 and/or distributed file system 928 of framework layer 920. In at least one embodiment, the one or more types of software may include, but are not limited to, internet web searching software, email virus scanning software, database software, and streaming video content software.
In at least one embodiment, one or more applications 942 included in the applications layer 940 can include one or more types of applications used by at least a portion of nodes c.r.916 (1) -916 (N), the packet computing resources 914, and/or the distributed file system 928 of the framework layer 920. In at least one embodiment, the one or more types of applications can include, but are not limited to, any number of genomic applications, cognitive computing, application, and machine learning applications, including training or reasoning software, machine learning framework software (e.g., pyTorch, tensrflow, caffe, etc.), or other machine learning applications used in connection with one or more embodiments.
In at least one embodiment, any of configuration manager 924, resource manager 926, and resource coordinator 912 can implement any number and type of self-modifying actions based on any number and type of data obtained in any technically feasible manner. In at least one embodiment, the self-modifying action may mitigate a data center operator of data center 900 from making configuration decisions that may not be good and may avoid underutilization and/or poorly performing portions of the data center.
In at least one embodiment, data center 900 may include tools, services, software, or other resources to train or use one or more machine learning models to predict or infer information in accordance with one or more embodiments described herein. For example, in at least one embodiment, the machine learning model may be trained by computing weight parameters according to a neural network architecture using software and computing resources described above with respect to data center 900. In at least one embodiment, using the weight parameters calculated through one or more training techniques described herein, the information can be inferred or predicted using the trained machine learning models corresponding to one or more neural networks using the resources described above with respect to data center 900.
In at least one embodiment, the data center may use a CPU, application Specific Integrated Circuit (ASIC), GPU, FPGA, or other hardware to perform training and/or reasoning using the above resources. Further, one or more of the software and/or hardware resources described above may be configured as a service to allow a user to train or perform information reasoning, such as image recognition, voice recognition, or other artificial intelligence services.
Inference and/or training logic 115 is operative to perform inference and/or training operations associated with one or more embodiments. Details regarding inference and/or training logic 115 are provided herein in connection with FIG. 1A and/or FIG. 1B. In at least one embodiment, inference and/or training logic 115 may be used in system fig. 9 for inferring or predicting operations based, at least in part, on using neural network training operations, neural network functions and/or architectures, or weight parameters computed using neural network cases as described herein.
Autonomous vehicle
Fig. 10A illustrates an example of an autonomous vehicle 1000 in accordance with at least one embodiment. In at least one embodiment, the autonomous vehicle 1000 (alternatively referred to herein as "vehicle 1000") may be, but is not limited to, a passenger vehicle, such as an automobile, a truck, a bus, and/or another type of vehicle that may house one or more passengers. In at least one embodiment, the vehicle 1000 may be a semi-tractor-trailer for hauling cargo. In at least one embodiment, the vehicle 1000 may be an aircraft, a robotic vehicle, or other type of vehicle.
The automated Driving of automobiles may be described according to an Automation level defined by the national highway traffic safety administration ("NHTSA") and the society of automotive engineers ("SAE") under the us department of transportation, terms relating to Driving Automation Systems for Road Motor Vehicles (e.g., standard number J3016-201806 published On 6/15 in 2018, standard number J3016-201609 published On 30/2016 9, and previous and future versions of this standard). In at least one embodiment, the vehicle 1000 may be capable of functioning according to one or more of level 1 through level 5 of the autonomous driving level. For example, in at least one embodiment, the vehicle 1000 may be capable of conditional automation (level 3), highly automated (level 4), and/or fully automated (level 5), depending on the embodiment.
In at least one embodiment, the vehicle 1000 may include, but is not limited to, components such as a chassis, a body, wheels (e.g., 2, 4, 6, 8, 18, etc.), tires, axles, and other components of the vehicle. In at least one embodiment, the vehicle 1000 may include, but is not limited to, a propulsion system 1050, such as an internal combustion engine, a hybrid power plant, an all-electric engine, and/or another propulsion system type. In at least one embodiment, propulsion system 1050 may be connected to a driveline of vehicle 1000, which may include, but is not limited to, a transmission to enable propulsion of vehicle 1000. In at least one embodiment, the propulsion system 1050 may be controlled in response to receiving a signal from the throttle/accelerator 1052.
In at least one embodiment, a steering system 1054 (which may include, but is not limited to, a steering wheel) is used to steer the vehicle 1000 (e.g., along a desired path or route) when the propulsion system 1050 is operating (e.g., while the vehicle 1000 is traveling). In at least one embodiment, the steering system 1054 can receive a signal from a steering actuator 1056. In at least one embodiment, the steering wheel may be optional for fully automated (level 5) functionality. In at least one embodiment, the brake sensor system 1046 may be used to operate the vehicle brakes in response to signals received from the brake actuators 1048 and/or brake sensors.
In at least one embodiment, controller 1036 may include, but is not limited to, one or more systems on a chip ("SoC") (not shown in fig. 10A) and/or a graphics processing unit ("GPU") to provide signals (e.g., representative of commands) to one or more components and/or systems of vehicle 1000. For example, in at least one embodiment, the controller 1036 can send signals to operate vehicle brakes via brake actuators 1048, steering system 1054 via one or more steering actuators 1056, and propulsion system 1050 via one or more throttles/accelerators 1052. In at least one embodiment, the one or more controllers 1036 can include one or more on-board (e.g., integrated) computing devices that process sensor signals and output operational commands (e.g., signals representative of the commands) to enable autonomous driving and/or to assist a driver in driving the vehicle 1000. In at least one embodiment, the one or more controllers 1036 can include a first controller for an autopilot function, a second controller for a functional safety function, a third controller for an artificial intelligence function (e.g., computer vision), a fourth controller for an infotainment function, a fifth controller for redundancy in case of emergency, and/or other controllers. In at least one embodiment, a single controller may handle two or more of the above-described functions, two or more controllers may handle a single function, and/or any combination thereof.
In at least one embodiment, one or more controllers 1036 provide signals for controlling one or more components and/or systems of vehicle 1000 in response to sensor data received from one or more sensors (e.g., sensor inputs). In at least one embodiment, the sensor data may be received from sensors of a type such as, but not limited to, one or more global navigation satellite system ("GNSS") sensors 1058 (e.g., one or more global positioning system sensors), one or more RADAR sensors 1060, one or more ultrasonic sensors 1062, one or more LIDAR sensors 1064, one or more Inertial Measurement Unit (IMU) sensors 1066 (e.g., one or more accelerometers, one or more gyroscopes, one or more magnetic compasses, one or more magnetometers, etc.), one or more microphones 1096, one or more stereo cameras 1068, one or more wide-angle cameras 1070 (e.g., fisheye cameras), one or more infrared cameras 1072, one or more surround cameras 1074 (e.g., 360 degree cameras), remote cameras (not shown in fig. 10A), mid-range cameras (not shown in fig. 10A), one or more speed sensors (e.g., for measuring the speed of the vehicle 1000), one or more vibration sensors 1042, one or more steering sensors 1040, one or more braking sensors (e.g., as part of a brake system 1046) and/or other types of sensors 1046.
In at least one embodiment, one or more controllers 1036 can receive input (e.g., represented by input data) from a dashboard 1032 of vehicle 1000 and provide output (e.g., represented by output data, display data, etc.) through a human machine interface ("HMI") display 1034, sound annunciators, speakers, and/or other components of vehicle 1000. In at least one embodiment, the output may include information such as vehicle speed, time, map data (e.g., a high-definition map (not shown in fig. 10A), location data (e.g., the location of the vehicle 1000, e.g., on a map), direction, the location of other vehicles (e.g., occupancy gratings), information about objects, and the status of objects as perceived by one or more controllers 1036.
In at least one embodiment, the vehicle 1000 also includes a network interface 1024, which may communicate over one or more networks using one or more wireless antennas 1026 and/or one or more modems. For example, in at least one embodiment, the network interface 1024 may be capable of communicating over long term evolution ("LTE"), wideband code division multiple access ("WCDMA"), universal mobile telecommunications system ("UMTS"), global system for mobile communications ("GSM"), IMT-CDMA multi-carrier ("CDMA 2000") networks, and/or the like. In at least one embodiment, the one or more wireless antennas 1026 may also enable communication between objects (e.g., vehicles, mobile devices) in the environment using one or more local area networks (e.g., bluetooth Low Energy (LE), Z-Wave, zigBee, etc.) and/or one or more Low power wide area networks (hereinafter "LPWAN") (e.g., loRaWAN, sigFox, etc. protocols).
Inference and/or training logic 115 is operative to perform inference and/or training operations associated with one or more embodiments. Details regarding inference and/or training logic 115 are provided herein in connection with FIG. 1A and/or FIG. 1B. In at least one embodiment, inference and/or training logic 115 may be used in system fig. 10A to infer or predict operations based at least in part on weight parameters calculated using neural network training operations \ neural network functions and/or architectures or neural network use cases described herein.
Fig. 10B illustrates an example of camera positions and field of view of the autonomous vehicle 1000 of fig. 10A in accordance with at least one embodiment. In at least one embodiment, the cameras and respective fields of view are one example embodiment and are not intended to be limiting. For example, in at least one embodiment, additional and/or alternative cameras may be included and/or may be located at different locations on the vehicle 1000.
In at least one embodiment, the type of camera used for the camera may include, but is not limited to, a digital camera that may be suitable for use with components and/or systems of the vehicle 1000. In at least one embodiment, one or more cameras may operate at automotive safety integrity level ("ASIL") B and/or other ASILs. In at least one embodiment, the camera type may have any image capture rate, such as 60 frames per second (fps), 1220fps, 240fps, etc., depending on the embodiment. In at least one embodiment, the camera may be capable of using a rolling shutter, a global shutter, another type of shutter, or a combination thereof. In at least one embodiment, the color filter array may include a red clear transparent ("RCCC") color filter array, a red clear blue ("RCCB") color filter array, a red blue green clear ("RBGC") color filter array, a Foveon X3 color filter array, a Bayer (Bayer) sensor ("RGGB") color filter array, a monochrome sensor color filter array, and/or other types of color filter arrays. In at least one embodiment, a transparent pixel camera, such as a camera with an RCCC, RCCB, and/or RBGC color filter array, may be used in an effort to improve light sensitivity.
In at least one embodiment, one or more cameras may be used to perform advanced driver assistance system ("ADAS") functions (e.g., as part of a redundant or fail-safe design). For example, in at least one embodiment, a multi-function mono camera may be installed to provide functions including lane departure warning, traffic sign assistance, and intelligent headlamp control. In at least one embodiment, one or more cameras (e.g., all cameras) can record and provide image data (e.g., video) simultaneously.
In at least one embodiment, one or more cameras may be mounted in a mounting assembly, such as a custom designed (three-dimensional ("3D") printed) assembly, to cut out stray light and reflections from within the vehicle 1000 (e.g., reflections of the dashboard reflect off of the windshield mirrors), which may interfere with the image data capture capabilities of the cameras. With respect to the rearview mirror mounting assembly, in at least one embodiment, the rearview mirror assembly can be 3D print custom made such that the camera mounting plate matches the shape of the rearview mirror. In at least one embodiment, one or more cameras may be integrated into the rearview mirror. In at least one embodiment, for a side-looking camera, one or more cameras may also be integrated within the four pillars at each corner of the cabin.
In at least one embodiment, cameras having a field of view that includes portions of the environment in front of the vehicle 1000 (e.g., forward facing cameras) may be used to look around and, with the aid of one or more controllers 1036 and/or control socs, help identify forward paths and obstacles, thereby providing information critical to generating an occupancy grid and/or determining a preferred vehicle path. In at least one embodiment, the forward facing camera may be used to perform many ADAS functions similar to LIDAR, including but not limited to emergency braking, pedestrian detection, and collision avoidance. In at least one embodiment, the forward facing camera may also be used for ADAS functions and systems including, but not limited to, lane departure warning ("LDW"), automatic cruise control ("ACC"), and/or other functions (e.g., traffic sign recognition).
In at least one embodiment, various cameras may be used in a forward configuration, including, for example, a monocular camera platform including a CMOS ("complementary metal oxide semiconductor") color imager. In at least one embodiment, a wide angle camera 1070 can be used to perceive objects entering from the periphery (e.g., pedestrians, crossing roads, or bicycles). Although only one wide-angle camera 1070 is shown in fig. 10B, in other embodiments, there may be any number (including zero) of wide-angle cameras on the vehicle 1000. In at least one embodiment, any number of remote cameras 1098 (e.g., remote stereo camera pairs) can be used for depth-based object detection, particularly for objects that have not yet trained a neural network. In at least one embodiment, remote camera 1098 may also be used for object detection and classification and basic object tracking.
In at least one embodiment, any number of stereo cameras 1068 may also be included in the forward configuration. In at least one embodiment, one or more stereo cameras 1068 may include an integrated control unit that includes a scalable processing unit that may provide programmable logic ("FPGA") and a multi-core microprocessor with a single on-chip integrated controller area network ("CAN") or ethernet interface. In at least one embodiment, such a unit may be used to generate a 3D map of the environment of the vehicle 1000, including distance estimates for all points in the image. In at least one embodiment, the one or more stereo cameras 1068 may include, but are not limited to, a compact stereo vision sensor, which may include, but is not limited to, two camera lenses (one left and right, respectively) and one image processing chip, which may measure the distance from the vehicle 1000 to the target object and use the generated information (e.g., metadata) to activate autonomous emergency braking and lane departure warning functions. In at least one embodiment, other types of stereo cameras 1068 may be used in addition to those described herein.
In at least one embodiment, a camera having a field of view that includes a portion of the environment to the side of the vehicle 1000 (e.g., a side view camera) may be used for surround viewing, providing information for creating and updating an occupancy grid, and generating side impact warnings. For example, in at least one embodiment, surround cameras 1074 (e.g., four surround cameras as shown in fig. 10B) may be positioned on the vehicle 1000. In at least one embodiment, the one or more surround cameras 1074 may include, but are not limited to, any number and combination of wide angle cameras, one or more fisheye lenses, one or more 360 degree cameras, and/or the like. For example, in at least one embodiment, four fisheye lens cameras may be located at the front, back, and sides of the vehicle 1000. In at least one embodiment, the vehicle 1000 may use three surround cameras 1074 (e.g., left, right, and rear), and may utilize one or more other cameras (e.g., a forward facing camera) as a fourth look-around camera.
In at least one embodiment, a camera having a field of view that includes a portion of the environment behind the vehicle 1000 (e.g., a rear view camera) may be used for parking assistance, looking around, rear collision warning, and creating and updating occupancy rasters. In at least one embodiment, a wide variety of cameras can be used, including but not limited to cameras that are also suitable as one or more forward facing cameras (e.g., remote camera 1098 and/or one or more mid-range cameras 1076, one or more stereo cameras 1068, one or more infrared cameras 1072, etc.), as described herein.
Inference and/or training logic 115 is operative to perform inference and/or training operations associated with one or more embodiments. Details regarding inference and/or training logic 115 are provided herein in connection with FIG. 1A and/or FIG. 1B. In at least one embodiment, inference and/or training logic 115 may be used in the system of FIG. 10B for inferring or predicting operations based, at least in part, on weight parameters calculated using neural network training operations, neural network functions and/or architectures, or neural network use cases described herein.
Fig. 10C illustrates a block diagram of an example system architecture of the autonomous vehicle 1000 of fig. 10A in accordance with at least one embodiment. In at least one embodiment, each of the one or more components, one or more features, and one or more systems of vehicle 1000 in fig. 10C are shown connected via a bus 1002. In at least one embodiment, bus 1002 may include, but is not limited to, a CAN data interface (alternatively referred to herein as a "CAN bus"). In at least one embodiment, the CAN may be a network internal to the vehicle 1000 for assisting in controlling various features and functions of the vehicle 1000, such as brake actuation, acceleration, braking, steering, wipers, and the like. In one embodiment, bus 1002 may be configured to have tens or even hundreds of nodes, each with its own unique identifier (e.g., CAN ID). In at least one embodiment, the bus 1002 can be read to find a steering wheel angle, ground speed, number of revolutions per minute ("RPM") of the engine, button position, and/or other vehicle status indicators. In at least one embodiment, bus 1002 may be an ASIL B compliant CAN bus.
In at least one embodiment, flexRay and/or Ethernet (Ethernet) protocols may be used in addition to or in place of CAN. In at least one embodiment, there may be any number of buses forming bus 1002, which may include, but is not limited to, zero or more CAN buses, zero or more FlexRay buses, zero or more Ethernet buses, and/or zero or more other types of buses using different protocols. In at least one embodiment, two or more buses may be used to perform different functions, and/or may be used for redundancy. For example, a first bus may be used for collision avoidance functions and a second bus may be used for actuation control. In at least one embodiment, each of the buses 1002 may communicate with any component of the vehicle 1000, and two or more of the buses 1002 may communicate with the respective components. In at least one embodiment, any number of system-on-a-chip ("SoC") 1004 (e.g., soC 1004 (a) and SoC 1004 (B)), each of the one or more controllers 1036 and/or each computer within the vehicle may have access to the same input data (e.g., input from sensors of vehicle 1000), and may be connected to a common bus, such as a CAN bus.
In at least one embodiment, the vehicle 1000 may include one or more controllers 1036, such as those described herein with respect to fig. 10A. In at least one embodiment, the controller 1036 can be used for a variety of functions. In at least one embodiment, controller 1036 can be coupled to any of the various other components and systems of vehicle 1000, and can be used to control vehicle 1000, the artificial intelligence of vehicle 1000, the infotainment of vehicle 1000, and/or other functions.
In at least one embodiment, the vehicle 1000 may include any number of socs 1004. In at least one embodiment, each of socs 1004 can include, but is not limited to, a central processing unit ("one or more CPUs") 1006, a graphics processing unit ("one or more GPUs") 1008, one or more processors 1010, one or more caches 1012, one or more accelerators 1014, one or more data stores 1016, and/or other components and features not shown. In at least one embodiment, one or more socs 1004 can be used to control vehicle 1000 in various platforms and systems. For example, in at least one embodiment, one or more socs 1004 can be combined in a system (e.g., a system of vehicle 1000) with a high definition ("HD") map 1022, which high definition map 1022 can obtain map refreshes and/or updates from one or more servers (not shown in fig. 10C) via network interface 1024.
In at least one embodiment, the one or more CPUs 1006 can include a CPU cluster or CPU complex (alternatively referred to herein as "CCPLEX"). In at least one embodiment, one or more CPUs 1006 can include multiple cores and/or level two ("L2") caches. For example, in at least one embodiment, the one or more CPUs 1006 can include eight cores in a multi-processor configuration coupled to each other. In at least one embodiment, the one or more CPUs 1006 may include four dual-core clusters, where each cluster has a dedicated L2 cache (e.g., a 2MB L2 cache). In at least one embodiment, one or more CPUs 1006 (e.g., CCPLEX) can be configured to support simultaneous cluster operations such that any combination of clusters of one or more CPUs 1006 can be active at any given time.
In at least one embodiment, the one or more CPUs 1006 can implement power management functions including, but not limited to, one or more of the following features: when the system is idle, each hardware module can be automatically subjected to clock gating so as to save dynamic power; when the core is not actively executing instructions due to executing a wait-for-interrupt ("WFI")/event-wait ("WFE") instruction, each core clock may be gated; each core can be independently powered; when all cores are clock gated or power gated, each cluster of cores may be independently clock gated; and/or each cluster of cores may be independently power gated when all cores are power gated. In at least one embodiment, one or more CPUs 1006 can further implement an enhanced algorithm for managing power states, wherein allowed power states and expected wake times are specified, and hardware/microcode determines the optimal power state for the core, cluster, and CCPLEX inputs. In at least one embodiment, the processing core may support a simplified power state input sequence in software, where work is shared to microcode.
In at least one embodiment, the one or more GPUs 1008 can include an integrated GPU (alternatively referred to herein as an "iGPU"). In at least one embodiment, one or more GPUs 1008 may be programmable and may be efficient for parallel workloads. In at least one embodiment, one or more GPUs 1008 can use an enhanced tensor instruction set. In one embodiment, the one or more GPUs 1008 may include one or more streaming microprocessors, where each streaming microprocessor may include a level one ("L1") cache (e.g., an L1 cache having a storage capacity of at least 96 KB), and two or more streaming microprocessors may share an L2 cache (e.g., an L2 cache having a storage capacity of 512 KB). In at least one embodiment, the one or more GPUs 1008 can include at least eight streaming microprocessors. In at least one embodiment, one or more GPUs 1008 can use a computing Application Programming Interface (API). In at least one embodiment, one or more GPUs 1008 may use one or more parallel computing platforms and/or programming models (e.g., CUDA model of NVIDIA).
In at least one embodiment, one or more GPUs 1008 may be power consumption optimized for best performance in automotive and embedded use cases. For example, in at least one embodiment, one or more GPUs 1008 can be fabricated on fin field effect transistor ("FinFET") circuitry. In at least one embodiment, each streaming microprocessor may contain multiple mixed-precision processing cores divided into multiple blocks. For example, but not limiting of, 64 PF32 cores and 32 PF64 cores may be divided into four processing blocks. In at least one embodiment, each processing block may be allocated 16 FP32 cores, 8 FP64 cores, 16 INT32 cores, two mixed precision NVIDIA tensor cores for deep learning matrix arithmetic, level zero ("L0") instruction cache, thread bundle scheduler, dispatch unit, and/or 64KB register file. In at least one embodiment, a streaming microprocessor may include independent parallel integer and floating point data paths to provide efficient execution of the workload of mixed compute and addressing operations. In at least one embodiment, the streaming microprocessor may include independent thread scheduling capabilities to enable finer grained synchronization and collaboration between parallel threads. In at least one embodiment, the streaming microprocessor may include a combined L1 data cache and shared memory unit to improve performance while simplifying programming.
In at least one embodiment, the one or more GPUs 1008 may include a high bandwidth memory ("HBM") and/or a 16GB high bandwidth memory second generation ("HBM 2") memory subsystem to provide a peak storage bandwidth of approximately 900 GB/sec in some examples. In at least one embodiment, a synchronous graphics random access memory ("SGRAM"), such as a graphics double data rate type five synchronous random access memory ("GDDR 5"), may be used in addition to or in place of HBM memory.
In at least one embodiment, one or more GPUs 1008 can include unified memory technology. In at least one embodiment, address translation service ("ATS") support may be used to allow one or more GPUs 1008 to directly access one or more CPU 1006 page tables. In at least one embodiment, an address translation request may be sent to the one or more CPUs 1006 when one of the GPUs of the one or more GPUs 1008 experiences a miss. In response, in at least one embodiment, the 2 CPUs of the one or more CPUs 1006 can look up the virtual-to-physical mapping of addresses in their page tables and communicate the translation back to the one or more GPUs 1008. In at least one embodiment, unified memory technology can allow a single unified virtual address space to be used for memory for both the one or more CPUs 1006 and the one or more GPUs 1008, thereby simplifying programming of the one or more GPUs 1008 and porting applications to the one or more GPUs 1008.
In at least one embodiment, one or more GPUs 1008 may include any number of access counters that may track the frequency of accesses by one or more GPUs 1008 to the memory of other processors. In at least one embodiment, one or more access counters may help to ensure that memory pages are moved into the physical memory of the processor that most frequently accesses the pages, thereby increasing the efficiency of the memory range shared between processors.
In at least one embodiment, one or more socs 1004 can include any number of caches 1012, including those described herein. For example, in at least one embodiment, the one or more caches 1012 may include a three-level ("L3") cache that is available to the one or more CPUs 1006 and the one or more GPUs 1008 (e.g., connected to the CPUs 1006 and GPUs 1008). In at least one embodiment, the one or more caches 1012 may include a write-back cache, which may track the state of a line, for example, by using a cache coherence protocol (e.g., MEI, MESI, MSI, etc.). In at least one embodiment, the L3 cache may include 4MB of memory or more, depending on the embodiment, although smaller cache sizes may be used.
In at least one embodiment, one or more socs 1004 can include one or more accelerators 1014 (e.g., hardware accelerators, software accelerators, or a combination thereof). In at least one embodiment, one or more socs 1004 can include a hardware acceleration cluster, which can include optimized hardware accelerators and/or large on-chip memory. In at least one embodiment, large on-chip memory (e.g., 4MB of SRAM) may enable hardware acceleration clusters to accelerate neural networks and other computations. In at least one embodiment, the hardware-accelerated clusters may be used to supplement one or more GPUs 1008 and offload some tasks of one or more GPUs 1008 (e.g., free up more cycles of one or more GPUs 1008 to perform other tasks). In at least one embodiment, one or more accelerators 1014 can be used for target workloads that are sufficiently stable to withstand acceleration testing (e.g., perceptual, convolutional neural networks ("CNNs"), recurrent neural networks ("RNNs"), etc.). In at least one embodiment, the CNNs may include region-based or region-convolutional neural networks ("RCNNs") and fast RCNNs (e.g., as used for object detection), or other types of CNNs.
In at least one embodiment, one or more accelerators 1014 (e.g., hardware acceleration clusters) can include one or more deep learning accelerators ("DLAs"). In at least one embodiment, the one or more DLAs may include, but are not limited to, one or more sensor processing units ("TPUs"), which may be configured to provide an additional 10 trillion operations per second for deep learning applications and reasoning. In at least one embodiment, the TPU may be an accelerator configured and optimized for performing image processing functions (e.g., for CNN, RCNN, etc.). In at least one embodiment, one or more DLAs can be further optimized for a particular set of neural network types and floating point operations and reasoning. In at least one embodiment, the design of one or more DLAs can provide higher per millimeter performance than typical general purpose GPUs, and generally well exceeds the performance of the CPU. In at least one embodiment, one or more TPUs may perform several functions, including single instance convolution functions and post-processor functions that support, for example, INT8, INT16 and FP16 data types for features and weights. In at least one embodiment, one or more DLAs can quickly and efficiently execute neural networks, particularly CNNs, on processed or unprocessed data for any of a variety of functions, including, for example and without limitation: CNN for object recognition and detection using data from camera sensors; CNN for distance estimation using data from camera sensors; a CNN for emergency vehicle detection and identification and detection using data from the microphone; a CNN for face recognition and car owner recognition using data from the camera sensor; and/or CNN for security and/or security related events.
In at least one embodiment, the DLA can perform any function of the one or more GPUs 1008, and through the use of an inference accelerator, for example, a designer can target one or more DLAs or one or more GPUs 1008 for any function. For example, in at least one embodiment, the designer may focus CNN processing and floating point operations on one or more DLAs and leave other functionality to one or more GPUs 1008 and/or one or more accelerators 1014.
In at least one embodiment, the one or more accelerators 1014 can include a programmable visual accelerator ("PVA"), which can alternatively be referred to herein as a computer vision accelerator. In at least one embodiment, one or more PVAs may be designed and configured to accelerate computer vision algorithms for advanced driver assistance systems ("ADAS") 1038, autopilots, augmented reality ("AR") applications, and/or virtual reality ("VR") applications. In at least one embodiment, one or more PVAs can be balanced between performance and flexibility. For example, in at least one embodiment, each of the one or more PVAs may include, for example, but not limited to, any number of reduced instruction set computer ("RISC") cores, direct memory access ("DMA"), and/or any number of vector processors.
In at least one embodiment, the RISC core may interact with an image sensor (e.g., of any of the cameras described herein), an image signal processor, and the like. In at least one embodiment, each RISC core may include any number of memories. In at least one embodiment, the RISC core may use any of a variety of protocols, depending on the embodiment. In at least one embodiment, the RISC core may execute a real-time operating system ("RTOS"). In at least one embodiment, the RISC core may be implemented using one or more integrated circuit devices, application specific integrated circuits ("ASICs"), and/or memory devices. For example, in at least one embodiment, the RISC core may include an instruction cache and/or tightly coupled RAM.
In at least one embodiment, DMA may enable components of the PVA to access system memory independently of the one or more CPUs 1006. In at least one embodiment, the DMA may support any number of features for providing optimization to the PVA, including, but not limited to, support for multi-dimensional addressing and/or circular addressing. In at least one embodiment, DMA may support up to six or more addressing dimensions, which may include, but are not limited to, block width, block height, block depth, horizontal block stepping, vertical block stepping, and/or depth stepping.
In at least one embodiment, the vector processor may be a programmable processor that may be designed to efficiently and flexibly execute programming for computer vision algorithms and provide signal processing capabilities. In at least one embodiment, the PVA may include a PVA core and two vector processing subsystem partitions. In at least one embodiment, the PVA core may include a processor subsystem, DMA engines (e.g., two DMA engines), and/or other peripherals. In at least one embodiment, the vector processing subsystem may serve as the primary processing engine for the PVA, and may include a vector processing unit ("VPU"), an instruction cache, and/or a vector memory (e.g., "VMEM"). In at least one embodiment, the VPU core may include a digital signal processor, for example, a single instruction multiple data ("SIMD"), very long instruction word ("VLIW") digital signal processor. In at least one embodiment, the combination of SIMD and VLIW may improve throughput and speed.
In at least one embodiment, each vector processor may include an instruction cache and may be coupled to a dedicated memory. As a result, in at least one embodiment, each vector processor may be configured to execute independently of the other vector processors. In at least one embodiment, the vector processors included in a particular PVA can be configured to exploit data parallelism. For example, in at least one embodiment, multiple vector processors included in a single PVA can execute general purpose computer vision algorithms, except on different areas of the image. In at least one embodiment, the vector processor included in a particular PVA may perform different computer vision algorithms simultaneously on one image, or even different algorithms on sequential or partial images. In at least one embodiment, any number of PVAs may be included in a hardware acceleration cluster, and any number of vector processors may be included in each PVA, among others. In at least one embodiment, the PVA may include additional error correction code ("ECC") memory to enhance overall system security.
In at least one embodiment, one or more accelerators 1014 can include an on-chip computer vision network and static random access memory ("SRAM") to provide high bandwidth, low latency SRAM for the one or more accelerators 1014. In at least one embodiment, the on-chip memory may comprise at least 4MB of SRAM, including, for example, but not limited to, eight field-configurable memory blocks, which may be accessed by both PVA and DLA. In at least one embodiment, each pair of memory blocks may include an advanced peripheral bus ("APB") interface, configuration circuitry, a controller, and a multiplexer. In at least one embodiment, any type of memory may be used. In at least one embodiment, the PVA and DLA may access the memory via a backbone network that provides the PVA and DLA with high-speed access to the memory. In at least one embodiment, the backbone network may include an on-chip computer vision network that interconnects the PVA and DLA to memory (e.g., using APB).
In at least one embodiment, the computer-on-chip visual network may include an interface that determines that both the PVA and DLA provide ready and valid signals prior to transmitting any control signals/addresses/data. In at least one embodiment, the interface may provide a separate phase and separate channel for sending control signals/addresses/data, as well as burst-type communication for continuous data transmission. In at least one embodiment, the interface may conform to the international organization for standardization ("ISO") 26262 or international electrotechnical commission ("IEC") 61508 standards, although other standards and protocols may be used.
In at least one embodiment, one or more socs 1004 can include a real-time line-of-sight tracking hardware accelerator. In at least one embodiment, a real-time gaze tracking hardware accelerator may be used to quickly and efficiently determine the location and extent of objects (e.g., within a world model), to generate real-time visualization simulations for RADAR signal interpretation, for sound propagation synthesis and/or analysis, for simulations of SONAR systems, for general wave propagation simulations, comparison with LIDAR data for localization and/or other functions, and/or for other uses.
In at least one embodiment, one or more accelerators 1014 have a wide variety of uses for autonomous driving. In at least one embodiment, PVA may be used in key processing stages in ADAS and autonomous cars. In at least one embodiment, the capabilities of the PVA at low power consumption and low latency are well matched to the domain of the algorithm that requires predictable processing. In other words, PVA performs well in semi-intensive or intensive conventional computing, even on small data sets that may require predictable runtime with low latency and low power consumption. In at least one embodiment, PVAs may be designed to run classical computer vision algorithms, such as in vehicle 1000, because they may be efficient in object detection and integer mathematical operations.
For example, in accordance with at least one embodiment of the technology, PVA is used to perform computer stereo vision. In at least one embodiment, a semi-global matching based algorithm may be used in some examples, although this is not meant to be limiting. In at least one embodiment, an application for 3-5 level autopilot uses dynamic estimation/stereo matching on the fly (e.g., recovering structure from motion, pedestrian recognition, lane detection, etc.). In at least one embodiment, the PVA may perform computer stereo vision functions on input from two monocular cameras.
In at least one embodiment, PVA may be used to perform dense optical flow. For example, in at least one embodiment, the PVA may process the raw RADAR data (e.g., using a 4D fast fourier transform) to provide processed RADAR data. In at least one embodiment, the PVA is used for time-of-flight depth processing, for example, by processing raw time-of-flight data to provide processed time-of-flight data.
In at least one embodiment, the DLA may be used to run any type of network to enhance control and driving safety, including for example, but not limited to, a neural network that outputs a confidence for each object detection. In at least one embodiment, the confidence level may be expressed or interpreted as a probability, or as providing a relative "weight" of each detection relative to the other detections. In at least one embodiment, the confidence measure enables the system to make a further decision as to which detections should be considered true positive detections rather than false positive detections. In at least one embodiment, the system may set a threshold for the confidence level, and only detect exceeding the threshold are considered true positive detections. In embodiments using an automatic emergency braking ("AEB") system, a false positive detection would result in the vehicle automatically performing emergency braking, which is clearly undesirable. In at least one embodiment, the detection of high confidence may be considered a trigger for an AEB. In at least one embodiment, the DLA may run a neural network for regressing the confidence values. In at least one embodiment, the neural network may have as its inputs at least some subset of the parameters, such as bounding box dimensions, a ground plane estimate obtained (e.g., from another subsystem), outputs of one or more IMU sensors 1066 related to vehicle 1000 direction, distance, 3D position estimates of objects obtained from the neural network and/or other sensors (e.g., one or more LIDAR sensors 1064 or one or more RADAR sensors 1060), and/or the like.
In at least one embodiment, one or more socs 1004 can include one or more data storage devices 1016 (e.g., memory). In at least one embodiment, the one or more data stores 1016 may be on-chip memory of the one or more socs 1004, which may store neural networks to be executed on the one or more GPUs 1008 and/or DLAs. In at least one embodiment, the one or more data stores 1016 can have a capacity large enough to store multiple instances of a neural network for redundancy and safety. In at least one embodiment, the one or more data stores 1016 can include an L2 or L3 cache.
In at least one embodiment, one or more socs 1004 can include any number of processors 1010 (e.g., embedded processors). In at least one embodiment, the one or more processors 1010 may include boot and power management processors, which may be special purpose processors and subsystems to handle boot power and management functions and related security implementations. In at least one embodiment, the boot and power management processors can be part of one or more SoC 1004 boot sequences and can provide runtime power management services. In at least one embodiment, the boot power and management processor may provide clock and voltage programming, assist in system low power state transitions, one or more SoC 1004 thermal and temperature sensor management, and/or one or more SoC 1004 power state management. In at least one embodiment, each temperature sensor can be implemented as a ring oscillator whose output frequency is proportional to temperature, and one or more socs 1004 can use the ring oscillator to detect the temperature of one or more CPUs 1006, one or more GPUs 1008, and/or one or more accelerators 1014. In at least one embodiment, if it is determined that the temperature exceeds a threshold, the boot and power management processor can enter a temperature fault routine and place one or more socs 1004 in a lower power consumption state and/or place the vehicle 1000 in a safe parking pattern for the driver (e.g., to safely park the vehicle 1000).
In at least one embodiment, the one or more processors 1010 may further include a set of embedded processors that may serve as an audio processing engine, which may be an audio subsystem capable of providing hardware with full hardware support for multi-channel audio through multiple interfaces and a wide and flexible range of audio I/O interfaces. In at least one embodiment, the audio processing engine is a special purpose processor core having a digital signal processor with a special purpose RAM.
In at least one embodiment, the one or more processors 1010 may further include an always-on processor engine that may provide the necessary hardware features to support low power sensor management and wake-up use cases. In at least one embodiment, the processors on the always-on processor engine may include, but are not limited to, processor cores, tightly coupled RAM, support peripherals (e.g., timers and interrupt controllers), various I/O controller peripherals, and routing logic.
In at least one embodiment, the one or more processors 1010 may further include a secure cluster engine including, but not limited to, a dedicated processor subsystem for handling security management of automotive applications. In at least one embodiment, the secure cluster engine may include, but is not limited to, two or more processor cores, tightly coupled RAM, supporting peripherals (e.g., timers, interrupt controllers, etc.), and/or routing logic. In the secure mode, in at least one embodiment, two or more cores may operate in lockstep mode and may act as a single core with comparison logic to detect any differences between their operations. In at least one embodiment, the one or more processors 1010 may further include a real-time camera engine, which may include, but is not limited to, a dedicated processor subsystem for handling real-time camera management. In at least one embodiment, the one or more processors 1010 may further include a high dynamic range signal processor, which may include, but is not limited to, an image signal processor, which is a hardware engine that is part of the camera processing pipeline.
In at least one embodiment, the one or more processors 1010 may include a video image compositor, which may be a processing block (e.g., implemented on a microprocessor) that implements the video post-processing functions required by the video playback application to generate the final video to generate the final image for the player window. In at least one embodiment, the video image compositor may perform lens distortion correction on one or more wide angle cameras 1070, one or more surround cameras 1074, and/or one or more in-cabin surveillance camera sensors. In at least one embodiment, the in-cabin surveillance camera sensors are preferably monitored by a neural network running on another instance of the SoC 1004, the neural network configured to recognize cabin events and respond accordingly. In at least one embodiment, the in-cabin system may perform, but is not limited to, lip reading to activate cellular services and make phone calls, indicate email, change the destination of the vehicle, activate or change the infotainment systems and settings of the vehicle, or provide voice-activated web surfing. In at least one embodiment, certain functions are available to the driver when the vehicle is operating in the autonomous mode, and are otherwise disabled.
In at least one embodiment, the video image compositor may include enhanced temporal noise reduction for simultaneous spatial and temporal noise reduction. For example, in at least one embodiment, where motion occurs in the video, noise reduction appropriately weights spatial information, thereby reducing the weight of information provided by adjacent frames. In at least one embodiment, where an image or portion of an image does not include motion, temporal noise reduction performed by a video image compositor may use information from a previous image to reduce noise in a current image.
In at least one embodiment, the video image compositor may be further configured to perform stereo correction on the input stereo lens frames. In at least one embodiment, the video image compositor may also be used for user interface compositing when using an operating system desktop, and one or more GPUs 1008 are not required to continuously render new surfaces. In at least one embodiment, a video image compositor may be used to offload one or more GPUs 1008 to improve performance and responsiveness when powering and actively rendering the one or more GPUs 1008 in 3D.
In at least one embodiment, one or more of socs 1004 can further include a mobile industrial processor interface ("MIPI") camera serial interface for receiving video and input from the camera, a high speed interface, and/or video input blocks that can be used for camera and related pixel input functions. In at least one embodiment, one or more socs 1004 can further include an input/output controller that can be controlled by software and can be used to receive I/O signals that are not submitted to a particular role.
In at least one embodiment, one or more of socs 1004 can further include a wide range of peripheral interfaces to enable communication with peripheral devices, audio coder/decoders ("codecs"), power management, and/or other devices. In at least one embodiment, the one or more socs 1004 CAN be used to process data from (e.g., connected by gigabit multimedia serial link and ethernet channel) cameras, sensors (e.g., one or more LIDAR sensors 1064, one or more RADAR sensors 1060, etc., which CAN be connected by ethernet channel), data from the bus 1002 (e.g., speed of the vehicle 1000, steering wheel position, etc.), data from one or more GNSS sensors 1058 (e.g., connected by an ethernet bus or CAN bus), and so forth. In at least one embodiment, one or more of socs 1004 can further include a dedicated high-performance mass storage controller, which can include their own DMA engine, and can be used to free one or more CPUs 1006 of conventional data management tasks.
In at least one embodiment, one or more socs 1004 can be an end-to-end platform with a flexible architecture that spans automation levels 3-5, providing a comprehensive functional safety architecture that leverages and efficiently uses computer vision and ADAS technology to achieve diversity and redundancy, providing a platform that can provide a flexible, reliable driving software stack and deep learning tools. In at least one embodiment, one or more socs 1004 can be faster, more reliable, and even more energy and space efficient than conventional systems. For example, in at least one embodiment, one or more accelerators 1014, when combined with one or more CPUs 1006, one or more GPUs 1008, and one or more data storage devices 1016, can provide a fast, efficient platform for a 3-5 class autonomous vehicle.
In at least one embodiment, the computer vision algorithms may be executed on a CPU, which may be configured using a high-level programming language (e.g., C) to execute a variety of processing algorithms on a variety of visual data. However, in at least one embodiment, the CPU is generally unable to meet the performance requirements of many computer vision applications, such as performance requirements related to execution time and power consumption. In at least one embodiment, many CPUs are not capable of executing complex object detection algorithms in real-time, which are used in both onboard ADAS applications and in actual class 3-5 autonomous vehicles.
The embodiments described herein allow multiple neural networks to be executed simultaneously and/or sequentially, and allow the results to be combined together to achieve a level 3-5 autopilot function. For example, in at least one embodiment, the CNN executed on a DLA or discrete GPU (e.g., one or more GPUs 1020) may include text and word recognition, allowing the supercomputer to read and understand traffic signs, including signs that the neural network has not been trained specifically. In at least one embodiment, the DLA may also include a neural network that is capable of recognizing, interpreting, and providing a semantic understanding of the symbols and passing the semantic understanding to a path planning module running on the CPU Complex.
In at least one embodiment, multiple neural networks may be run simultaneously for 3, 4, or 5 levels of drive. For example, in at least one embodiment, by "warning flag statement: flashing lights indicating icing conditions (cautions) a warning sign consisting of connected lights together can be interpreted by multiple neural networks independently or collectively. In at least one embodiment, the warning sign itself may be recognized as a traffic sign by a first deployed neural network (e.g., an already trained neural network), and the text "flashing light indication icing conditions" may be interpreted by a second deployed neural network, which informs the vehicle's path planning software (preferably executing on CPU Complex): when a flashing light is detected, an icing condition exists. In at least one embodiment, the flashing lights may be identified by operating the third deployed neural network over a plurality of frames, notifying the path planning software of the vehicle of the presence (or absence) of the flashing lights. In at least one embodiment, all three neural networks may be running simultaneously, for example within a DLA and/or on one or more GPUs 1008.
In at least one embodiment, the CNN for facial recognition and vehicle owner recognition may use data from the camera sensor to identify the presence of an authorized driver and/or owner of the vehicle 1000. In at least one embodiment, a normally open sensor processor engine may be used to unlock the vehicle when the owner approaches the driver's door and turns on the lights, and may be used to disable the vehicle when the owner leaves the vehicle in a safe mode. In this manner, one or more socs 1004 provide safeguards against theft and/or hijacking.
In at least one embodiment, the CNN for emergency vehicle detection and identification may use data from the microphone 1096 to detect and identify an emergency vehicle alert. In at least one embodiment, one or more socs 1004 use CNNs to classify environmental and urban sounds, as well as to classify visual data. In at least one embodiment, the CNN running on the DLA is trained to identify the relative approach speed of the emergency vehicle (e.g., by using the doppler effect). In at least one embodiment, the CNN may also be trained to identify emergency vehicles for the area in which the vehicle is operating, as identified by one or more GNSS sensors 1058. In at least one embodiment, while operating in europe, CNN will seek to detect european alarms, while in north america CNN will seek to identify only north american alarms. In at least one embodiment, once an emergency vehicle is detected, a control program may be used with the assistance of one or more ultrasonic sensors 1062 to perform emergency vehicle safety routines, to slow the vehicle, to drive the vehicle to the curb, to park, and/or to idle the vehicle until the emergency vehicle passes.
In at least one embodiment, the vehicle 1000 can include one or more CPUs 1018 (e.g., one or more discrete CPUs or one or more dcpus) that can be coupled to one or more socs 1004 via a high speed interconnect (e.g., PCIe). In at least one embodiment, the one or more CPUs 1018 can include an X86 processor, for example, the one or more CPUs 1018 can be used to perform any of a variety of functions, including, for example, the result of potential arbitration inconsistencies between ADAS sensors and the one or more socs 1004, and/or the status and health of the one or more supervisory controllers 1036 and/or information system on chip ("information SoC") 1030.
In at least one embodiment, vehicle 1000 may include one or more GPUs 1020 (e.g., one or more discrete GPUs or one or more dpus) that may be coupled to one or more socs 1004 via a high-speed interconnect (e.g., NVLINK channel of NVIDIA). In at least one embodiment, one or more GPUs 1020 may provide additional artificial intelligence functionality, such as by implementing redundant and/or different neural networks, and may be used to train and/or update the neural networks based at least in part on input (e.g., sensor data) from sensors of vehicle 1000.
In at least one embodiment, the vehicle 1000 may further include a network interface 1024, which may include, but is not limited to, one or more wireless antennas 1026 (e.g., one or more wireless antennas for different communication protocols, such as a cellular antenna, a bluetooth antenna, etc.). In at least one embodiment, the network interface 1024 may be used to enable wireless connectivity with other vehicles and/or computing devices (e.g., passenger's client devices) through an internet cloud service (e.g., employing a server and/or other network devices). In at least one embodiment, a direct link may be established between the vehicle 100 and another vehicle and/or an indirect link may be established (e.g., over a network and the internet) for communicating with other vehicles. In at least one embodiment, a direct link may be provided using a vehicle-to-vehicle communication link. In at least one embodiment, the vehicle-to-vehicle communication link may provide the vehicle 1000 with information about vehicles in the vicinity of the vehicle 1000 (e.g., vehicles in front of, to the side of, and/or behind the vehicle 1000). In at least one embodiment, this aforementioned functionality may be part of a cooperative adaptive cruise control function of vehicle 1000.
In at least one embodiment, the network interface 1024 can include a SoC that provides modulation and demodulation functions and enables the one or more controllers 1036 to communicate over a wireless network. In at least one embodiment, the network interface 1024 may include a radio frequency front end for up-conversion from baseband to radio frequency and down-conversion from radio frequency to baseband. In at least one embodiment, the frequency conversion may be performed in any technically feasible manner. For example, the frequency conversion may be performed by a well-known process and/or using a super-heterodyne process. In at least one embodiment, the radio frequency front end functionality may be provided by a separate chip. In at least one embodiment, the network interface may include wireless functionality for communicating over LTE, WCDMA, UMTS, GSM, CDMA2000, bluetooth LE, wi-Fi, Z-Wave, zigBee, loRaWAN, and/or other wireless protocols.
In at least one embodiment, vehicle 1000 may further include one or more data stores 1028, which may include, but are not limited to, off-chip (e.g., one or more SoC 1004) storage. In at least one embodiment, the one or more data stores 1028 can include, but are not limited to, one or more storage elements including RAM, SRAM, dynamic random access memory ("DRAM"), video random access memory ("VRAM"), flash memory, hard disk, and/or other components and/or devices that can store at least one bit of data.
In at least one embodiment, the vehicle 1000 may further include one or more GNSS sensors 1058 (e.g., GPS and/or assisted GPS sensors) to assist with mapping, sensing, occupancy raster generation, and/or path planning functions. In at least one embodiment, any number of GNSS sensors 1058 may be used, including for example and without limitation, GPS using a Universal Serial bus ("USB") connector with an Ethernet to serial (e.g., RS-232) bridge.
In at least one embodiment, the vehicle 1000 may further include one or more RADAR sensors 1060. In at least one embodiment, one or more RADAR sensors 1060 may be used by the vehicle 1000 for remote vehicle detection, even in dark and/or severe weather conditions. In at least one embodiment, the RADAR function security level may be ASIL B. In at least one embodiment, the one or more RADAR sensors 1060 may use the CAN bus and/or the bus 1002 (e.g., to transmit data generated by the one or more RADAR sensors 1060) for control and access to object tracking data, and in some examples may access an ethernet channel to access raw data. In at least one embodiment, a wide variety of RADAR sensor types may be used. For example, but not limiting of, one or more of the RADAR sensors 1060 may be suitable for front, back, and side RADAR use. In at least one embodiment, the one or more RADAR sensors 1060 are pulsed doppler RADAR sensors.
In at least one embodiment, the one or more RADAR sensors 1060 may include different configurations, such as long range with a narrow field of view, short range with a wide cause, short range side coverage, and the like. In at least one embodiment, the remote RADAR may be used for adaptive cruise control functions. In at least one embodiment, the remote RADAR system may provide a wide field of view achieved by two or more independent scans (e.g., within a range of 250 m). In at least one embodiment, one or more RADAR sensors 1060 can help distinguish between static objects and moving objects and can be used by ADAS system 1038 for emergency braking assistance and forward collision warning. In at least one embodiment, the one or more sensors 1060 included in the remote RADAR system may include, but are not limited to, a monostatic multi-mode RADAR having a plurality (e.g., six or more) stationary RADAR antennas and high speed CAN and FlexRay interfaces. In at least one embodiment, having six antennas, four antennas in the center, can create a focused beam pattern designed to record the surroundings of the vehicle 1000 at higher speeds with minimal traffic interference from adjacent lanes. In at least one embodiment, the other two antennas may enlarge the field of view so that a vehicle 1000 entering or leaving the lane may be quickly detected.
In at least one embodiment, the mid-range RADAR system may include a range of up to 160m (anterior) or 80m (posterior), for example, and a field of view of up to 42 degrees (anterior) or 150 degrees (posterior), for example. In at least one embodiment, the short-range RADAR system can include, but is not limited to, any number of RADAR sensors 1060 designed to be mounted at both ends of the rear bumper. When mounted at both ends of a rear bumper, in at least one embodiment, the RADAR sensor system can generate two beams that constantly monitor the direction of the rear of the vehicle and the blind spot in the vicinity. In at least one embodiment, the short range RADAR system may be used in the ADAS system 1038 for blind spot detection and/or lane change assistance.
In at least one embodiment, the vehicle 1000 may further include one or more ultrasonic sensors 1062. In at least one embodiment, one or more ultrasonic sensors 1062, which may be positioned at front, rear, and/or side locations of the vehicle 1000, may be used for parking assistance and/or to create and update occupancy gratings. In at least one embodiment, a wide variety of ultrasonic sensors 1062 can be used, and different ultrasonic sensors 1062 can be used for different detection ranges (e.g., 2.5m, 4 m). In at least one embodiment, the ultrasonic sensor 1062 may operate at the functional safety level of ASIL B.
In at least one embodiment, the vehicle 1000 may include one or more LIDAR sensors 1064. In at least one embodiment, one or more LIDAR sensors 1064 may be used for object and pedestrian detection, emergency braking, collision avoidance, and/or other functions. In at least one embodiment, one or more LIDAR sensors 1064 may operate at a functional security level ASIL B. In at least one embodiment, the vehicle 1000 may include multiple (e.g., two, four, six, etc.) LIDAR sensors 1064 (e.g., providing data to a gigabit ethernet switch) that may use ethernet channels.
In at least one embodiment, the one or more LIDAR sensors 1064 may be capable of providing a list of objects and their distances for a 360 degree field of view. In at least one embodiment, one or more LIDAR sensors 1064 that are commercially available, for example, may have an advertising range of approximately 100m, have an accuracy of 2cm-3cm, and support an ethernet connection at 100 Mbps. In at least one embodiment, one or more non-protruding LIDAR sensors may be used. In such embodiments, the one or more LIDAR sensors 1064 may include small devices that may be embedded in front, back, side, and/or corner locations of the vehicle 1000. In at least one embodiment, the one or more LIDAR sensors 1064, in such embodiments, can provide a horizontal field of view of up to 120 degrees and a vertical field of view of 35 degrees, even for low reflectivity objects, and have a range of 200 m. In at least one embodiment, the forward one or more LIDAR sensors 1064 may be configured for a horizontal field of view between 45 degrees and 135 degrees.
In at least one embodiment, LIDAR technology (such as 3D flash LIDAR) may also be used. In at least one embodiment, a 3D flash LIDAR uses a laser flash as a transmission source to illuminate approximately 200m around the vehicle 1000. In at least one embodiment, the flash LIDAR unit includes, but is not limited to, a receiver that records the laser pulse travel time and the reflected light on each pixel, which in turn corresponds to the range from the vehicle 1000 to the object. In at least one embodiment, a flash LIDAR may allow each laser flash to be utilized to generate a highly accurate and distortion-free image of the surrounding environment. In at least one embodiment, four flashing LIDAR sensors may be deployed, one on each side of the vehicle 1000. In at least one embodiment, the 3D flash LIDAR system includes, but is not limited to, a solid-state 3D line-of-sight array LIDAR camera with no moving parts other than a fan (e.g., a non-scanning LIDAR device). In at least one embodiment, a flashing LIDAR device may use 5 nanoseconds of class I (eye safe) laser pulses per frame and may capture the reflected laser light as a 3D ranging point cloud and co-registered intensity data.
In at least one embodiment, the vehicle 1000 may also include one or more IMU sensors 1066. In at least one embodiment, one or more IMU sensors 1066 may be located at a rear axle center of the vehicle 1000. In at least one embodiment, the one or more IMU sensors 1066 may include, for example, without limitation, one or more accelerometers, one or more magnetometers, one or more gyroscopes, one magnetic compass, multiple magnetic compasses, and/or other sensor types. In at least one embodiment, for example in a six-axis application, the one or more IMU sensors 1066 may include, but are not limited to, an accelerometer and a gyroscope. In at least one embodiment, for example in a nine axis application, the one or more IMU sensors 1066 may include, but are not limited to, an accelerometer, a gyroscope, and a magnetometer.
In at least one embodiment, the one or more IMU sensors 1066 may be implemented as a miniature high-performance GPS-assisted inertial navigation system ("GPS/INS") incorporating micro-electromechanical systems ("MEMS") inertial sensors, high-sensitivity GPS receivers, and advanced kalman filtering algorithms to provide estimates of position, velocity, and attitude; in at least one embodiment, the one or more IMU sensors 1066 may enable the vehicle 1000 to estimate heading without input from the magnetic sensor by directly observing and correlating changes in speed from the GPS to the one or more IMU sensors 1066. In at least one embodiment, the one or more IMU sensors 1066 and the one or more GNSS sensors 1058 may be combined in a single integrated unit.
In at least one embodiment, the vehicle 1000 may include one or more microphones 1096 placed in and/or around the vehicle 1000. In at least one embodiment, one or more microphones 1096 may be used for emergency vehicle detection and identification, among other things.
In at least one embodiment, the vehicle 1000 may further include any number of camera types, including one or more stereo cameras 1068, one or more wide-angle cameras 1070, one or more infrared cameras 1072, one or more surround-cameras 1074, one or more remote cameras 1098, one or more mid-range cameras 1076, and/or other camera types. In at least one embodiment, the cameras may be used to capture image data around the entire periphery of the vehicle 1000. In at least one embodiment, the type of camera used depends on the vehicle 1000. In at least one embodiment, any combination of camera types may be used to provide the necessary coverage around the vehicle 1000. In at least one embodiment, the number of cameras deployed may vary depending on the embodiment. For example, in at least one embodiment, the vehicle 1000 may include six cameras, seven cameras, ten cameras, twelve cameras, or other number of cameras. In at least one embodiment, the camera may support, by way of example and not limitation, gigabit multimedia serial link ("GMSL") and/or gigabit ethernet communications. In at least one embodiment, each camera may be described in more detail herein previously with reference to fig. 10A and 10B.
In at least one embodiment, the vehicle 1000 may further include one or more vibration sensors 1042. In at least one embodiment, the one or more vibration sensors 1042 can measure vibrations of a component (e.g., axle) of the vehicle 1000. For example, in at least one embodiment, a change in vibration may indicate a change in road surface. In at least one embodiment, when two or more vibration sensors 1042 are used, the difference between the vibrations can be used to determine friction or slip of the road surface (e.g., when there is a vibration difference between the powered drive shaft and the free rotating shaft).
In at least one embodiment, the vehicle 1000 may include an ADAS system 1038. In at least one embodiment, the ADAS system 1038 can include, but is not limited to, a SoC. In at least one embodiment, ADAS system 1038 may include, but is not limited to, any number and combination of autonomous/adaptive/auto cruise control ("ACC") systems, coordinated adaptive cruise control ("CACC") systems, forward collision warning ("FCW") systems, automatic emergency braking ("AEB") systems, lane departure warning ("LDW") systems, lane keeping assist ("LKA") systems, blind spot warning ("BSW") systems, rear cross-traffic warning ("RCTW") systems, collision warning ("CW") systems, lane centering ("LC") systems, and/or other systems, features, and/or functions.
In at least one embodiment, the ACC system may use one or more RADAR sensors 1060, one or more LIDAR sensors 1064, and/or any number of cameras. In at least one embodiment, the ACC system may include a longitudinal ACC system and/or a transverse ACC system. In at least one embodiment, the longitudinal ACC system monitors and controls the distance to another vehicle in close proximity to the vehicle 1000 and automatically adjusts the speed of the vehicle 1000 to maintain a safe distance from the vehicle in front. In at least one embodiment, the lateral ACC system performs distance maintenance and advises the vehicle 1000 to change lanes when needed. In at least one embodiment, the lateral ACC is associated with other ADAS applications, such as LC and CW.
In at least one embodiment, the CACC system uses information from other vehicles, which may be received from the other vehicles via a wireless link or indirectly via a network connection (e.g., via the internet) via network interface 1024 and/or one or more wireless antennas 1026. In at least one embodiment, the direct link may be provided by a vehicle-to-vehicle ("V2V") communication link, while the indirect link may be provided by an infrastructure-to-vehicle ("I2V") communication link. In general, V2V communication provides information about an immediately preceding vehicle (e.g., a vehicle immediately preceding and on the same lane as vehicle 1000), while I2V communication provides information about more forward traffic. In at least one embodiment, the CACC system may include one or both of I2V and V2V information sources. In at least one embodiment, the CACC system may be more reliable given the information of vehicles ahead of vehicle 1000, and have the potential to improve smoothness of traffic flow and reduce road congestion.
In at least one embodiment, the FCW system is designed to warn the driver of a hazard so that the driver can take corrective action. In at least one embodiment, the FCW system uses a forward facing camera and/or one or more RADAR sensors 1060 coupled to a special purpose processor, digital signal processor ("DSP"), FPGA, and/or ASIC that are electrically coupled to provide driver feedback, such as a display, speaker, and/or vibration component. In at least one embodiment, the FCW system may provide a warning, for example in the form of an audible, visual warning, vibration, and/or rapid braking pulse.
In at least one embodiment, the AEB system detects an impending forward collision with another vehicle or other object and may automatically apply the brakes if the driver takes no corrective action within a specified time or distance parameter. In at least one embodiment, the AEB system may use one or more forward facing cameras and/or one or more RADAR sensors 1060 coupled to a dedicated processor, DSP, FPGA, and/or ASIC. In at least one embodiment, when the AEB system detects a hazard, it typically first alerts the driver to take corrective action to avoid the collision, and if the driver does not take corrective action, the AEB system may automatically apply brakes in an attempt to prevent or at least mitigate the effects of the predicted collision. In at least one embodiment, the AEB system may include techniques such as dynamic brake support and/or imminent collision braking.
In at least one embodiment, the LDW system provides a visual, audible, and/or tactile warning, such as a steering wheel or seat vibration, to alert the driver when the vehicle 1000 crosses a lane marker. In at least one embodiment, the LDW system is inactive when the driver indicates an intentional lane departure, such as by activating a turn signal light. In at least one embodiment, the LDW system may use a front facing camera coupled to a dedicated processor, DSP, FPGA and/or ASIC that is electrically coupled to provide driver feedback such as a display, speaker and/or vibrating components. In at least one embodiment, the LKA system is a variation of the LDW system. In at least one embodiment, if the vehicle 1000 begins to leave the lane, the LKA system provides steering inputs or brakes to correct the vehicle 1000.
In at least one embodiment, the BSW system detects and alerts vehicle drivers in blind areas of the automobile. In at least one embodiment, the BSW system may provide a visual, audible, and/or tactile alert to indicate that it is unsafe to merge or change lanes. In at least one embodiment, the BSW system may provide additional warnings when the driver is using the turn signal. In at least one embodiment, the BSW system may use one or more rear facing cameras and/or one or more RADAR sensors 1060 coupled to a dedicated processor, DSP, FPGA, and/or ASIC that are electrically coupled to driver feedback, such as a display, speaker, and/or vibration assembly.
In at least one embodiment, the RCTW system may provide a visual, audible, and/or tactile notification when an object is detected outside of the rear camera range while the vehicle 1000 is reversing. In at least one embodiment, the RCTW system includes an AEB system to ensure that the vehicle brakes are applied to avoid a collision. In at least one embodiment, the RCTW system may use one or more rear-facing RADAR sensors 1060 coupled to a dedicated processor, DSP, FPGA, and/or ASIC that are electrically coupled to provide driver feedback such as a display, speaker, and/or vibration assembly.
In at least one embodiment, conventional ADAS systems may be prone to false positive results, which may be annoying and distracting to the driver, but are generally not catastrophic, as they may alert the driver and allow the driver to decide whether a safety condition actually exists and take corresponding action. In at least one embodiment, in the event of a conflict of results, the vehicle 1000 itself decides whether to listen to the results of the primary or secondary computer (e.g., the first or second controller of controller 1036). For example, in at least one embodiment, ADAS system 1038 may be a backup and/or auxiliary computer for providing sensory information to a backup computer reasonableness module. In at least one embodiment, the standby computer rationality monitor can run redundant various software on the hardware components to detect faults in the sense and dynamic driving tasks. In at least one embodiment, the output from the ADAS system 1038 may be provided to a monitoring MCU. In at least one embodiment, if the output from the primary computer and the output from the secondary computer conflict, the supervising MCU decides how to coordinate the conflicts to ensure safe operation.
In at least one embodiment, the host computer may be configured to provide a confidence score to the supervising MCU to indicate the confidence of the host computer on the selected result. In at least one embodiment, if the confidence score exceeds a threshold, the supervising MCU may follow the instructions of the primary computer regardless of whether the secondary computer provides conflicting or inconsistent results. In at least one embodiment, where the confidence score does not satisfy a threshold, and where the primary and secondary computers indicate different results (e.g., conflicts), the supervising MCU may arbitrate between the computers to determine the appropriate results.
In at least one embodiment, the supervising MCU may be configured to run a neural network trained and configured to determine a condition for the auxiliary computer to provide a false alarm based at least in part on an output from the main computer and an output from the auxiliary computer. In at least one embodiment, the neural network in the supervising MCU may learn when the output of the helper computer can be trusted, and when it cannot. For example, in at least one embodiment, when the helper computer is a RADAR-based FCW system, the neural network in the supervising MCU can learn when the FCW system identifies metal objects that are not actually dangerous, such as drain grids or manhole covers that would trigger alarms. In at least one embodiment, when the helper computer is a camera-based LDW system, the neural network in the supervising MCU may learn to cover the LDW when there is a cyclist or pedestrian and indeed lane departure is the safest operation. In at least one embodiment, the supervising MCU may comprise at least one of a DLA or a GPU adapted to run a neural network with associated memory. In at least one embodiment, the supervising MCU can include and/or be included as a component of one or more socs 1004.
In at least one embodiment, ADAS system 1038 may include an auxiliary computer that performs ADAS functions using conventional computer vision rules. In at least one embodiment, the helper computer may use classical computer vision rules (if-then), and supervising the presence of the neural network in the MCU may improve reliability, safety, and performance. For example, in at least one embodiment, the varied implementation and intentional non-uniformity makes the overall system more fault tolerant, especially to faults caused by software (or software-hardware interface) functionality. For example, in at least one embodiment, if there is a software bug or error in the software running on the main computer, and non-identical software code running on the auxiliary computer provides consistent overall results, the supervising MCU can more confidently assume that the overall results are correct, and the bug in the software or hardware on the main computer does not result in a significant error.
In at least one embodiment, the output of the ADAS system 1038 can be input into a perception module of the host computer and/or a dynamic driving task module of the host computer. For example, in at least one embodiment, if ADAS system 1038 indicates a forward collision warning due to an object directly in front, the perception block may use this information in identifying the object. In at least one embodiment, as described herein, the helper computer may have its own neural network that is trained to reduce the risk of false positives.
In at least one embodiment, the vehicle 1000 may further include an infotainment SoC 1030 (e.g., an in-vehicle infotainment system (IVI)). Although shown and described as a SoC, in at least one embodiment, infotainment system SoC 1030 may not be a SoC and may include, but is not limited to, two or more discrete components. In at least one embodiment, the infotainment SoC 1030 may include, but is not limited to, a combination of hardware and software that may be used to provide audio (e.g., music, personal digital assistants, navigation instructions, news, radio, etc.), video (e.g., television, movies, streaming media, etc.), telephony (e.g., hands-free talk), network connectivity (e.g., LTE, wiFi, etc.), and/or information services (e.g., navigation systems, post-parking assistance, radio data systems, vehicle-related information such as fuel level, total coverage distance, brake fuel level, door open/close, air filter information, etc.) to the vehicle 1000. For example, the infotainment SoC 1030 may include a radio, disk player, navigation system, video player, USB and bluetooth connections, automobiles, in-vehicle entertainment systems, wiFi, steering wheel audio controls, hands-free voice controls, heads-up display ("HUD"), HMI display 1034, telematics devices, control panels (e.g., for controlling and/or interacting with various components, features, and/or systems), and/or other components. In at least one embodiment, the infotainment SoC 1030 may also be used to provide information (e.g., visual and/or audible) to a user of the vehicle 1000, such as information from the ADAS system 1038, automated driving information (such as planned vehicle maneuvers), trajectories, ambient information (e.g., intersection information, vehicle information, road information, etc.), and/or other information.
In at least one embodiment, the infotainment SoC 1030 may include any number and type of GPU functionality. In at least one embodiment, the infotainment SoC 1030 may communicate with other devices, systems, and/or components of the vehicle 1000 via the bus 1002. In at least one embodiment, the infotainment SoC 1030 may be coupled to a supervisory MCU such that the infotainment system's GPU may perform some autopilot functions in the event of a failure of the master controller 1036 (e.g., the primary and/or backup computer of the vehicle 1000). In at least one embodiment, the infotainment SoC 1030 may place the vehicle 1000 into a driver-safe stop mode, as described herein.
In at least one embodiment, vehicle 1000 may further include an instrument panel 1032 (e.g., a digital instrument panel, an electronic instrument panel, a digital instrument panel, etc.). In at least one embodiment, the dashboard 1032 can include, but is not limited to, a controller and/or a supercomputer (e.g., a discrete controller or supercomputer). In at least one embodiment, instrument panel 1032 may include, but is not limited to, any number and combination of a set of instruments, such as a speedometer, fuel level, oil pressure, tachometer, odometer, turn indicator, shift position indicator, one or more seatbelt warning lights, one or more parking brake warning lights, one or more engine failure lights, auxiliary restraint system (e.g., airbag) information, lighting controls, safety system controls, navigation information, and/or the like. In some examples, the information may be displayed and/or shared between the infotainment SoC 1030 and the dashboard 1032. In at least one embodiment, the dashboard 1032 can be included as part of the infotainment SoC 1030 and vice versa.
Inference and/or training logic 115 is operative to perform inference and/or training operations associated with one or more embodiments. Details regarding inference and/or training logic 115 are provided herein in connection with FIG. 1A and/or FIG. 1B. In at least one embodiment, inference and/or training logic 115 may be used in system fig. 10C to infer or predict operations based at least in part on weight parameters calculated using neural network training operations \ neural network functions and/or architectures or neural network use cases described herein.
Fig. 10D is a diagram of a system 1076 for communicating between a cloud-based server and the autonomous vehicle 1000 of fig. 10A, in accordance with at least one embodiment. In at least one embodiment, system 1076 may include, but is not limited to, one or more servers 1078, one or more networks 1090, and any number and type of vehicles, including vehicle 1000. In at least one embodiment, one or more servers 1078 can include, but are not limited to, a plurality of GPUs 1084 (a) -1084 (H) (collectively referred to herein as GPUs 1084), PCIe switches 1082 (a) -1082 (D) (collectively referred to herein as PCIe switches 1082), and/or CPUs 1080 (a) -1080 (B) (collectively referred to herein as CPUs 1080). In at least one embodiment, GPU 1084, CPU 1080, and PCIe switch 1082 may be interconnected with high-speed connection lines, such as, but not limited to, NVLink interface 1088 and/or PCIe connection 1086 developed by NVIDIA. In at least one embodiment, GPU 1084 is connected via NVLink and/or NVSwitchSoC, and GPU 1084 and PCIe switch 1082 are connected via a PCIe interconnect. Although eight GPUs 1084, two CPUs 1080, and four PCIe switches 1082 are shown, this is not intended to be limiting. In at least one embodiment, each of the one or more servers 1078 can include, but is not limited to, any combination of any number of GPUs 1084, CPUs 1080, and/or PCIe switches 1082. For example, in at least one embodiment, one or more servers 1078 may each include eight, sixteen, thirty-two, and/or more GPUs 1084.
In at least one embodiment, one or more servers 1078 may receive image data from vehicles over one or more networks 1090 representing images showing unexpected or changing road conditions, such as recently started road works. In at least one embodiment, one or more servers 1078 may transmit updated equal neural networks 1092, and/or map information 1094, including but not limited to information about traffic and road conditions, over the one or more networks 1090 and to the vehicles. In at least one embodiment, updates to the map information 1094 may include, but are not limited to, updates to the HD map 1022, such as information about construction sites, potholes, sidewalks, floods, and/or other obstacles. In at least one embodiment, the neural network 1092 and/or the map information 1094 may be generated by new training and/or experience represented in data received from any number of vehicles in the environment, and/or based at least on training performed at a data center (e.g., using one or more servers 1078 and/or other servers).
In at least one embodiment, one or more servers 1078 can be utilized to train a machine learning model (e.g., a neural network) based at least in part on training data. In at least one embodiment, the training data may be generated by the vehicle, and/or may be generated in a simulation (e.g., using a game engine). In at least one embodiment, any amount of training data is labeled (e.g., where the relevant neural network benefits from supervised learning) and/or subjected to other pre-processing. In at least one embodiment, no amount of training data is labeled and/or preprocessed (e.g., where the associated neural network does not require supervised learning). In at least one embodiment, once the machine learning model is trained, the machine learning model may be used by the vehicle (e.g., transmitted to the vehicle over one or more networks 1090, and/or the machine learning model may be used by one or more servers 1078 to remotely monitor the vehicle.
In at least one embodiment, one or more servers 1078 can receive data from the vehicle and apply the data to the latest real-time neural network for real-time intelligent reasoning. In at least one embodiment, the one or more servers 1078 can include deep learning supercomputers and/or dedicated AI computers powered by one or more GPUs 1084, such as DGX and DGX Station machines developed by NVIDIA. However, in at least one embodiment, the one or more servers 1078 can include a deep learning infrastructure of a data center powered using a CPU.
In at least one embodiment, the deep learning infrastructure of one or more servers 1078 may be capable of rapid, real-time reasoning and this capability may be used to assess and verify the health of the processors, software and/or related hardware in the vehicle 1000. For example, in at least one embodiment, the deep learning infrastructure may receive periodic updates from the vehicle 1000, such as a sequence of images and/or objects (e.g., via computer vision and/or other machine learning object classification techniques) in which the vehicle 1000 is located. In at least one embodiment, the deep learning infrastructure may run its own neural network to identify and compare objects with those identified by the vehicle 1000, and if the results do not match and the deep learning infrastructure concludes that the AI in the vehicle 1000 is malfunctioning, one or more servers 1078 may send a signal to the vehicle 1000 to instruct the fail-safe computer of the vehicle 1000 to take control, notify the passengers, and complete the safe parking maneuver.
In at least one embodiment, one or more servers 1078 can include one or more GPUs 1084 and one or more programmable inference accelerators (e.g., tensorRT 3 devices of NVIDIA). In at least one embodiment, a combination of GPU-driven servers and inference acceleration may enable real-time responses. In at least one embodiment, servers driven by CPUs, FPGAs, and other processors can be used for reasoning, for example, where performance is less critical. In at least one embodiment, hardware architecture 115 is used to implement one or more embodiments. Details regarding hardware architecture 115 are provided herein in connection with FIG. 1A and/or FIG. 1B.
Computer system
FIG. 11 is a block diagram illustrating an exemplary computer system, which may be a system with interconnected devices and components, a system on a chip (SOC), or some combination thereof, formed with a processor that may include execution units to execute instructions, according to at least one embodiment. In at least one embodiment, in accordance with the present disclosure, such as the embodiments described herein, the computer system 1100 may include, but is not limited to, a component, such as a processor 1102, whose execution unit includes logic to execute an algorithm for process data. In at least one embodiment, the computer system 1100 may include a processor, such as that available from Intel Corporation of Santa Clara, calif
Figure BDA0003569386470000661
Processor family, xeon TM,
Figure BDA0003569386470000662
Xscale and/or StrongARMTM,
Figure BDA0003569386470000663
Core TM or
Figure BDA0003569386470000664
Nervana TM A microprocessor, although other systems (including PCs with other microprocessors, engineering workstations, set-top boxes, etc.) may also be used. In at least one embodiment, computer system 1100 may execute a version of the WINDOWS operating system available from Microsoft Corporation of Redmond, wash, although other operating systems (e.g., UNIX and Linux), embedded software, and/or graphical user interfaces may also be used.
Embodiments may be used in other devices, such as handheld devices and embedded applications. Some examples of handheld devices include cellular telephones, internet Protocol (Internet Protocol) devices, digital cameras, personal digital assistants ("PDAs"), and handheld PCs. In at least one embodiment, the embedded application may include a microcontroller, a DSP, a system on a chip, a network computer ("NetPC"), a set-top box, a network hub, a wide area network ("WAN") switch, or any other system that may execute one or more instructions in accordance with at least one embodiment.
In at least one embodiment, the computer system 1100 may include, but is not limited to, a processor 1102, which processor 1102 may include, but is not limited to, one or more execution units 1108 to perform machine learning model training and/or reasoning in accordance with the techniques described herein. In at least one embodiment, computer system 1100 is a single-processor desktop or server system, but in another embodiment, computer system 1100 may be a multi-processor system. In at least one embodiment, the processor 1102 may include, but is not limited to, a complex instruction set computer ("CISC") microprocessor, a reduced instruction set computing ("RISC") microprocessor, a very long instruction word ("VLIW") microprocessor, a processor implementing a combination of instruction sets, or any other processor device, such as a digital signal processor. In at least one embodiment, the processor 1102 may be coupled to a processor bus 1110, and the processor bus 1110 may transmit data signals between the processor 1102 and other components in the computer system 1100.
In at least one embodiment, the processor 1102 may include, but is not limited to, a level 1 ("L1") internal cache memory ("cache") 1104. In at least one embodiment, the processor 1102 may have a single internal cache or multiple levels of internal cache. In at least one embodiment, the cache memory may reside external to the processor 1102. Other embodiments may also include a combination of internal and external caches, depending on the particular implementation and needs. In at least one embodiment, register file 1106 may store different types of data in various registers, including but not limited to integer registers, floating point registers, status registers, and instruction pointer registers.
In at least one embodiment, an execution unit 1108, including but not limited to logic to perform integer and floating point operations, is also located in the processor 1102. In at least one embodiment, the processor 1102 may also include microcode ("ucode") read only memory ("ROM") for storing microcode for certain macroinstructions. In at least one embodiment, execution unit 1108 may include logic to process packed instruction set 1109. In at least one embodiment, the encapsulated data in the processor 1102 can be used to perform operations used by many multimedia applications by including the encapsulated instruction set 1109 in the instruction set of a general purpose processor, and the associated circuitry to execute the instructions. In at least one embodiment, many multimedia applications may be accelerated and executed more efficiently by performing operations on encapsulated data using the full width of the processor's data bus, which may not require transferring smaller units of data over the processor's data bus to perform one or more operations of one data element at a time.
In at least one embodiment, execution unit 1108 may also be used in microcontrollers, embedded processors, graphics devices, DSPs, and other types of logic circuitry. In at least one embodiment, computer system 1100 can include, but is not limited to, memory 1120. In at least one embodiment, the memory 1120 may be a dynamic random access memory ("DRAM") device, a static random access memory ("SRAM") device, a flash memory device, or another memory device. In at least one embodiment, the memory 1120 may store instructions 1119 and/or data 1121 represented by data signals that may be executed by the processor 1102.
In at least one embodiment, a system logic chip may be coupled to the processor bus 1110 and the memory 1120. In at least one embodiment, the system logic chip may include, but is not limited to, a memory controller hub ("MCH") 1116, and the processor 1102 may communicate with the MCH 1116 via a processor bus 1110. In at least one embodiment, the MCH 1116 may provide a high bandwidth memory path 1118 to the memory 1120 for instruction and data storage and for storage of graphics commands, data, and textures. In at least one embodiment, the MCH 1116 may initiate data signals between the processor 1102, the memory 1120, and other components in the computer system 1100, and bridge the data signals between the processor bus 1110, the memory 1120, and the system I/O interface 1122. In at least one embodiment, the system logic chip may provide a graphics port for coupling to a graphics controller. In at least one embodiment, the MCH 1116 may be coupled to memory 1120 via a high bandwidth memory path 1118, and the Graphics/video card 1112 may be coupled to the MCH 1116 via an Accelerated Graphics Port (AGP) interconnect 1114.
In at least one embodiment, computer system 1100 may use system I/O interface 1122 as a proprietary hub interface bus to couple MCH 1116 to I/O controller hub ("ICH") 1130. In at least one embodiment, the ICH 1130 may provide direct connectivity to certain I/O devices through a local I/O bus. In at least one embodiment, the local I/O bus can include, but is not limited to, a high speed I/O bus for connecting peripheral devices to the memory 1120, chipset, and processor 1102. Examples may include, but are not limited to, an audio controller 1129, a firmware hub ("Flash BIOS") 1128, a wireless transceiver 1126, a data store 1124, a conventional I/O controller 1123 including a user input and keyboard interface 1125, a serial expansion port 1127 (e.g., a Universal Serial Bus (USB) port), and a network controller 1134. In at least one embodiment, data storage 1124 may include a hard disk drive, a floppy disk drive, a CD-ROM device, a flash memory device, or other mass storage device.
In at least one embodiment, fig. 11 shows a system including interconnected hardware devices or "chips," while in other embodiments, fig. 11 may show a SoC. In at least one embodiment, the devices shown in fig. 11 may be interconnected with a proprietary interconnect, a standardized interconnect (e.g., PCIe), or some combination thereof. In at least one embodiment, one or more components of computer system 1100 are interconnected using a compute express link (CXL) interconnect.
Inference and/or training logic 115 is operative to perform inference and/or training operations related to one or more embodiments. Details regarding inference and/or training logic 115 are provided herein in connection with FIG. 1A and/or FIG. 1B. In at least one embodiment, inference and/or training logic 115 may be used in the system of fig. 11 to infer or predict operations based at least in part on weight parameters calculated using neural network training operations, neural network functions and/or architectures, or neural network use cases described herein.
Fig. 12 is a block diagram illustrating an electronic device 1200 for utilizing a processor 1210 in accordance with at least one embodiment. In at least one embodiment, electronic device 1200 may be, for example, without limitation, a notebook computer, a tower server, a rack server, a blade server, a laptop computer, a desktop computer, a tablet computer, a mobile device, a telephone, an embedded computer, or any other suitable electronic device.
In at least one embodiment, the electronic device 1200 may include, but is not limited to, a processor 1210 communicatively coupled to any suitable number or variety of components, peripherals, modules, or devices. In at least one embodiment, processor 1210 is coupled using a bus or interface, such as an I2C bus, a system management bus ("SMBus"), a Low Pin Count (LPC) bus, a serial peripheral interface ("SPI"), a high definition audio ("HDA") bus, a serial advanced technology attachment ("SATA") bus, a universal serial bus ("USB") ( versions 1, 2, 3, etc.), or a universal asynchronous receiver/transmitter ("UART") bus. In at least one embodiment, fig. 12 shows a system including interconnected hardware devices or "chips," while in other embodiments, fig. 12 may show an exemplary SoC. In at least one embodiment, the devices shown in figure 12 may be interconnected with a proprietary interconnect line, a standardized interconnect (e.g., PCIe), or some combination thereof. In at least one embodiment, one or more components of fig. 12 are interconnected using computational fast link (CXL) interconnect lines.
In at least one embodiment, fig. 12 may include a display 1224, a touchscreen 1225, a touch panel 1230, a near field communication unit ("NFC") 1245, a sensor hub 1240, a thermal sensor 1246, an express chipset ("EC") 1235, a trusted platform module ("TPM") 1238, a BIOS/firmware/Flash memory ("BIOS, FW Flash") 1222, a DSP1260, a drive 1220 (e.g., a solid state disk ("SSD") or a hard disk drive ("HDD")), a wireless local area network unit ("WLAN") 1250, a bluetooth unit 1252, a wireless wide area network unit ("WWAN") 1256, a Global Positioning System (GPS) unit 1255, a camera ("USB 3.0 camera") 1254 (e.g., a USB 3.0 camera), and/or a low power double data rate ("LPDDR") memory unit ("LPDDR 3") 1215 implemented in, for example, the LPDDR3 standard. These components may each be implemented in any suitable manner.
In at least one embodiment, other components may be communicatively coupled to the processor 1210 via the components described herein. In at least one embodiment, an accelerometer 1241, an ambient light sensor ("ALS") 1242, a compass 1243, and a gyroscope 1244 can be communicatively coupled to the sensor hub 1240. In at least one embodiment, the thermal sensor 1239, fan 1237, keyboard 1236, and touch pad 1230 may be communicatively coupled to the EC 1235. In at least one embodiment, a speaker 1263, an earphone 1264, and a microphone ("mic") 1265 can be communicatively coupled to an audio unit ("audio codec and class-D amplifier") 1262, which in turn can be communicatively coupled to the DSP 1260. In at least one embodiment, the audio unit 1262 may include, for example, but not limited to, an audio coder/decoder ("codec") and a class D amplifier. In at least one embodiment, a SIM card ("SIM") 1257 may be communicatively coupled to the WWAN unit 1256. In at least one embodiment, components such as WLAN unit 1250 and bluetooth unit 1252 and WWAN unit 1256 may be implemented as Next Generation Form Factor (NGFF).
Inference and/or training logic 115 is operative to perform inference and/or training operations associated with one or more embodiments. Details regarding inference and/or training logic 115 are provided herein in connection with FIG. 1A and/or FIG. 1B. In at least one embodiment, inference and/or training logic 115 may be used in system diagram 12 to infer or predict operations based, at least in part, on weight parameters calculated using neural network training operations, neural network functions and/or architectures, or neural network use cases described herein.
Fig. 13 illustrates a computer system 1300 in accordance with at least one embodiment. In at least one embodiment, computer system 1300 is configured to implement the various processes and methods described throughout this disclosure.
In at least one embodiment, the computer system 1300 includes, but is not limited to, at least one central processing unit ("CPU") 1302, the central processing unit ("CPU") 1302 being connected to a communication bus 1310 implemented using any suitable protocol, such as PCI ("peripheral component interconnect"), peripheral component interconnect Express ("PCI-Express"), AGP ("accelerated graphics port"), hypertransport, or any other bus or point-to-point communication protocol. In at least one embodiment, computer system 1300 includes, but is not limited to, a main memory 1304 and control logic (e.g., implemented in hardware, software, or a combination thereof), and data may be stored in main memory 1304 in the form of random access memory ("RAM"). In at least one embodiment, network interface subsystem ("network interface") 1322 provides an interface to other computing devices and networks, for receiving data with computer system 1300 and transmitting data to other systems.
In at least one embodiment, computer system 1300, in at least one embodiment, includes, but is not limited to, an input device 1308, a parallel processing system 1312, and a display device 1306, which may be implemented using a conventional cathode ray tube ("CRT"), a liquid crystal display ("LCD"), a light emitting diode ("LED") display, a plasma display, or other suitable display technology. In at least one embodiment, user input is received from an input device 1308 (such as a keyboard, mouse, touchpad, microphone, etc.). In at least one embodiment, each of the modules described herein may be located on a single semiconductor platform to form a processing system.
Inference and/or training logic 115 is operative to perform inference and/or training operations associated with one or more embodiments. Details regarding inference and/or training logic 115 are provided herein in connection with FIG. 1A and/or FIG. 1B. In at least one embodiment, inference and/or training logic 115 may be used in system diagram 13 to perform inference or predictive operations based, at least in part, on weight parameters calculated using neural network training operations, neural network functions and/or architectures, or neural network use cases described herein.
FIG. 14 illustrates a computer system 1400 in accordance with at least one embodiment. In at least one embodiment, computer system 1400 includes, but is not limited to, a computer 1410 and a USB disk 1420. In at least one embodiment, the computer 1410 may include, but is not limited to, any number and type of processors (not shown) and memories (not shown). In at least one embodiment, computer 1410 includes, but is not limited to, a server, a cloud instance, a laptop computer, and a desktop computer.
In at least one embodiment, USB disk 1420 includes, but is not limited to, a processing unit 1430, a USB interface 1440, and USB interface logic 1450. In at least one embodiment, processing unit 1430 can be any instruction execution system, apparatus, or device capable of executing instructions. In at least one embodiment, processing units 1430 may include, but are not limited to, any number and type of processing cores (not shown). In at least one embodiment, processing unit 1430 includes an application specific integrated circuit ("ASIC") optimized to perform any number and type of operations associated with machine learning. For example, in at least one embodiment, the processing unit 1430 is a tensor processing unit ("TPC") that is optimized to perform machine learning inference operations. In at least one embodiment, the processing unit 1430 is a vision processing unit ("VPU") optimized to perform machine vision and machine learning inference operations.
In at least one embodiment, the USB interface 1440 may be any type of USB connector or USB socket. For example, in at least one embodiment, the USB interface 1440 is a USB 3.0 Type-C receptacle for data and power. In at least one embodiment, the USB interface 1440 is a USB 3.0 Type-A connector. In at least one embodiment, USB interface logic 1450 may include any number and type of logic to enable processing unit 1430 to connect with a device (e.g., computer 1410) via USB interface 1440.
Inference and/or training logic 115 is operative to perform inference and/or training operations associated with one or more embodiments. Details regarding inference and/or training logic 115 are provided herein in connection with FIG. 1A and/or FIG. 1B. In at least one embodiment, inference and/or training logic 115 may be used in system diagram 14 to infer or predict operations based, at least in part, on weight parameters, neural network functions, and/or architectures calculated using neural network training operations or neural network use cases described herein.
Fig. 15A illustrates an exemplary architecture in which multiple GPUs 1510 (1) -1510 (N) are communicatively coupled to multiple multi-core processors 1505 (1) -1505 (M) by high-speed links 1540 (1) -1540 (N) (e.g., buses/point-to-point interconnects, etc.). In at least one embodiment, high speed links 1540 (1) -1540 (N) support communication throughputs of 4GB/s, 30GB/s, 80GB/s, or higher. In at least one embodiment, various interconnect protocols can be used, including but not limited to PCIe 4.0 or 5.0 and NVLink 2.0. In each figure, "N" and "M" represent positive integers, the values of which may vary from figure to figure.
Further, in at least one embodiment, two or more GPUs 1510 are interconnected by high-speed links 1529 (1) -1529 (2), which may be implemented using protocols/links similar to or different from the protocols/links used for high-speed links 1540 (1) -1540 (N). Similarly, two or more multi-core processors 1505 may be connected by a high speed link 1528, which may be a symmetric multi-processor (SMP) bus operating at 20GB/s, 30GB/s, 120GB/s, or higher. Alternatively, all communications between the various system components shown in fig. 15A may be accomplished using similar protocols/links (e.g., over a common interconnect fabric).
In at least one embodiment, each multi-core processor 1505 is communicatively coupled to processor memories 1501 (1) -1501 (M) via memory interconnects 1526 (1) -1526 (M), respectively, and each GPU 1510 (1) -1510 (N) is communicatively coupled to GPU memories 1520 (1) -1520 (N) through GPU memory interconnects 1550 (1) -1550 (N), respectively. In at least one embodiment, memory interconnects 1526 and 1550 may utilize similar or different memory access technologies. By way of example and not limitation, processor memories 1501 (1) -1501 (M) and GPU memory 1520 may be volatile memories, such as Dynamic Random Access Memory (DRAM) (including stacked DRAM), graphics DDR SDRAM (GDDR) (e.g., GDDR5, GDDR 6), or High Bandwidth Memory (HBM), and/or may be non-volatile memories, such as 3D XPoint or Nano-Ram. In at least one embodiment, some portions of processor memory 1501 may be volatile memory, while other portions may be non-volatile memory (e.g., using a two-level memory (2 LM) hierarchy).
As described herein, although the various multi-core processors 1505 and GPUs 1510 may be physically coupled to specific memories 1501, 1520, respectively, and/or may implement a unified memory architecture in which a virtual system address space (also referred to as an "effective address" space) is distributed among the various physical memories. For example, processor memories 1501 (1) -1501 (M) may each contain 64GB of system memory address space, and GPU memories 1520 (1) -1520 (N) may each contain 32GB of system memory address space, resulting in a total addressable memory size of 256GB when M =2 and N = 4. Other values for N and M are also possible.
FIG. 15B illustrates additional details for the interconnection between the multicore processor 1507 and graphics acceleration module 1546 according to an example embodiment. In at least one embodiment, graphics acceleration module 1546 may include one or more GPU chips integrated on a linecard coupled to processor 1507 via high-speed link 1540 (e.g., PCIe bus, NVLink, etc.). In at least one embodiment, graphics acceleration module 1546 may optionally be integrated on a package or chip with processor 1507.
In at least one embodiment, processor 1507 includes a plurality of cores 1560A-1560D, each having a translation lookaside buffer ("TLB") 1561A-1561D and one or more caches 1562A-1562D. In at least one embodiment, the cores 1560A-1560D may include various other components not shown for executing instructions and processing data. In at least one embodiment, caches 1562A-1562D may include level 1 (L1) and level 2 (L2) caches. Further, one or more shared caches 1556 may be included in the caches 1562A-1562D and shared by the sets of cores 1560A-1560D. For example, one embodiment of processor 1507 includes 24 cores each with its own L1 cache, twelve shared L2 caches, and twelve shared L3 caches. In this embodiment, two adjacent cores share one or more L2 and L3 caches. In at least one embodiment, processor 1507 and graphics acceleration module 1546 are coupled to system memory 1514, which system memory 1514 may include processor memories 1501 (1) -1501 (M) in FIG. 15A.
In at least one embodiment, coherency is maintained for data and instructions stored in the various caches 1562A-1562D, 1556 and system memory 1514 via inter-core communications over a coherency bus 1564. In at least one embodiment, for example, each cache may have cache coherency logic/circuitry associated therewith to communicate over coherency bus 1564 in response to detecting a read or write to a particular cache line. In at least one embodiment, a cache snoop protocol is implemented over coherency bus 1564 to snoop (snoop) cache accesses.
In at least one embodiment, proxy circuit 1525 communicatively couples graphics acceleration module 1546 to coherency bus 1564, allowing graphics acceleration module 1546 to participate in a cache coherency protocol as a peer of cores 1560A-1560D. In particular, in at least one embodiment, interface 1535 provides a connection to proxy circuit 1525 over high speed link 1540, and interface 1537 connects graphics acceleration module 1546 to high speed link 1540.
In at least one embodiment, accelerator integrated circuit 1536 provides cache management, memory access, context management, and interrupt management services on behalf of multiple graphics processing engines 1531 (1) -1531 (N) of the graphics acceleration module. In at least one embodiment, graphics processing engines 1531 (1) -1531 (N) may each include a separate GPU. In at least one embodiment, graphics processing engines 1531 (1) -1531 (N) optionally may include different types of graphics processing engines within a GPU, such as graphics execution units, media processing engines (e.g., video encoders/decoders), samplers, and blit engines. In at least one embodiment, graphics acceleration module 1546 may be a GPU with multiple graphics processing engines 1531 (1) -1531 (N), or graphics processing engines 1531 (1) -1531 (N) may be individual GPUs integrated on a general purpose package, line card, or chip.
In at least one embodiment, accelerator integrated circuit 1536 includes a Memory Management Unit (MMU) 1539 to perform various memory management functions, such as virtual to physical memory translation (also referred to as effective to real memory translation), and a memory access protocol to access system memory 1514. In at least one embodiment, MMU 1539 may also include a translation lookaside buffer ("TLB") (not shown) for caching virtual/effective to physical/real address translations. In at least one embodiment, the cache 1538 may store commands and data for efficient access by the graphics processing engines 1531 (1) -1531 (N). In at least one embodiment, the data stored in the cache 1538 and the graphics memories 1533 (1) -1533 (M) are kept coherent with the core caches 1562A-1562D, 1556 and the system memory 1514, possibly using a fetch unit 1544. As previously described, this task may be accomplished via proxy circuitry 1525, which represents caches 1538 and graphics memories 1533 (1) -1533 (M) (e.g., sending updates to caches 1538 and receiving updates from caches 1538 related to modification/access of cache lines on processor caches 1562A-1562D, 1556).
In at least one embodiment, a set of registers 1545 store context data for threads executed by the graphics processing engine 1531 (1) -1531 (N), and context management circuitry 1548 manages thread contexts. For example, the context management circuitry 1548 may perform save and restore operations to save and restore the context of the respective thread during a context switch (e.g., where a first thread is saved and a second thread is stored so that the second thread may be executed by the graphics processing engine). For example, the context management circuitry 1548 may store the current register value to a specified region in memory (e.g., identified by a context pointer) upon a context switch. The register values may then be restored when the context is returned. In at least one embodiment, interrupt management circuitry 1547 receives and processes interrupts received from system devices.
In at least one embodiment, MMU 1539 translates virtual/effective addresses from graphics processing engine 1531 to real/physical addresses in system memory 1514. In at least one embodiment, accelerator integrated circuit 1536 supports multiple (e.g., 4, 8, 16) graphics accelerator modules 1546 and/or other accelerator devices. In at least one embodiment, the graphics accelerator module 1546 may be dedicated to a single application executing on the processor 1507, or may be shared among multiple applications. In at least one embodiment, a virtualized graphics execution environment is presented in which the resources of graphics processing engines 1531 (1) -1531 (N) are shared with multiple applications or Virtual Machines (VMs). In at least one embodiment, resources may be subdivided into "slices" that are assigned to different VMs and/or applications based on processing requirements and priorities associated with the VMs and/or applications.
In at least one embodiment, accelerator integrated circuit 1536 executes as a bridge to the system of graphics acceleration module 1546 and provides address translation and system memory cache services. Additionally, in at least one embodiment, accelerator integrated circuit 1536 may provide a virtualization facility for a host processor to manage virtualization, interrupts, and memory management for graphics processing engines 1531 (1) -1531 (N).
In at least one embodiment, since the hardware resources of graphics processing engines 1531 (1) -1531 (N) are explicitly mapped to the real address space seen by host processor 1507, any host processor can directly address these resources using effective address values. In at least one embodiment, one function of accelerator integrated circuit 1536 is to physically separate graphics processing engines 1531 (1) -1531 (N) so that they appear to the system as independent units.
In at least one embodiment, one or more graphics memories 1533 (1) -1533 (M) are coupled to each graphics processing engine 1531 (1) -1531 (N), respectively, and N = M. In at least one embodiment, graphics memory 1533 (1) -1533 (M) stores instructions and data that are processed by each graphics processing engine 1531 (1) -1531 (N). In at least one embodiment, graphics memories 1533 (1) -1533 (M) may be volatile memories, such as DRAMs (including stacked DRAMs), GDDR memories (e.g., GDDR5, GDDR 6), or HBMs, and/or may be non-volatile memories, such as 3D XPoint or Nano-Ram.
In at least one embodiment, to reduce data traffic on high speed link 1540, biasing techniques are used to ensure that the data stored in graphics memory 1533 (1) -1533 (M) is the data most frequently used by graphics processing engines 1531 (1) -1531 (N), and preferably that cores 1560A-1560D do not use (at least not frequently used). Similarly, in at least one embodiment, the biasing mechanism attempts to maintain data needed by the cores (and preferably not graphics processing engine 1531 (-1) -1531 (N)) in caches 1562A-1562D, 1556 and system memory 1514.
Fig. 15C illustrates another example embodiment where an accelerator integrated circuit 1536 is integrated within the processor 1507. In this embodiment, graphics processing engines 1531 (1) -1531 (N) communicate directly with accelerator integrated circuit 1536 over high speed link 1540 via interface 1537 and interface 1535 (which again may be any form of bus or interface protocol). In at least one embodiment, accelerator integrated circuit 1536 may perform operations similar to those described with respect to fig. 15B. But may have a higher throughput due to its close proximity to the coherency bus 1564 and caches 1562A-1562D, 1556. In at least one embodiment, the accelerator integrated circuit supports different programming models, including a dedicated process programming model (without graphics acceleration module virtualization) and a shared programming model (with virtualization), which may include a programming model controlled by accelerator integrated circuit 1536 and a programming model controlled by graphics acceleration module 1546.
In at least one embodiment, graphics processing engines 1531 (1) -1531 (N) are dedicated to a single application or process under a single operating system. In at least one embodiment, a single application can aggregate (channel) other application requests to graphics processing engines 1531 (1) -1531 (N), thereby providing virtualization within VMs/partitions.
In at least one embodiment, graphics processing engines 1531 (1) -1531 (N) may be shared by multiple VM/application partitions. In at least one embodiment, the sharing model may use a hypervisor to virtualize the graphics processing engines 1531 (1) -1531 (N) to allow access by each operating system. In at least one embodiment, the operating system owns the graphics processing engines 1531 (1) -1531 (N) for a single-partition system without a hypervisor. In at least one embodiment, the operating system may virtualize the graphics processing engines 1531 (1) -1531 (N) to provide access to each process or application.
In at least one embodiment, graphics acceleration module 1546 or individual graphics processing engines 1531 (1) -1531 (N) use process handles to select process elements. In at least one embodiment, the process elements are stored in system memory 1514 and may be addressed using effective-to-real address translation techniques described herein. In at least one embodiment, the process handle may be an implementation-specific value that is provided to the host process (i.e., invokes system software to add a process element to the linked list of process elements) when its context is registered with graphics processing engine 1531 (1) -1531 (N). In at least one embodiment, the lower 16 bits of the process handle may be the offset of the process element in the linked list of process elements.
Fig. 15D illustrates an exemplary accelerator integration slice 1590. In at least one embodiment, a "slice" includes a designated portion of the processing resources of accelerator integrated circuit 1536. In at least one embodiment, the application is an effective address space 1582 in system memory 1514, which stores process elements 1583. In at least one embodiment, the process element 1583 is stored in response to a GPU call 1581 from an application 1580 executing on the processor 1507. In at least one embodiment, the process elements 1583 contain the process state of the corresponding application 1580. In one embodiment, the Work Descriptor (WD) 1584 included in the process element 1583 may be a single job requested by an application or may contain a pointer to a job queue. In at least one embodiment, the WD 1584 is a pointer to a queue of job requests in the application's effective address space 1582.
In at least one embodiment, graphics acceleration module 1546 and/or individual graphics processing engines 1531 (1) -1531 (N) may be shared by all or a subset of processes in the system. In at least one embodiment, an infrastructure for setting a process state and sending WD 1584 to graphics acceleration module 1546 to begin a job in a virtualized environment may be included.
In at least one embodiment, the dedicated process programming model is implementation specific. In at least one embodiment, in this model, a single process owns the graphics acceleration module 1546 or the individual graphics processing engine 1531. In at least one embodiment, the hypervisor initializes the accelerator integrated circuits for the owned partitions when graphics acceleration module 1546 is owned by a single process, and the operating system initializes the accelerator integrated circuits 1536 for the owned processes when graphics acceleration module 1546 is dispatched.
In at least one embodiment, in operation, WD fetch unit 1591 in accelerator integration slice 1590 fetches a next WD 1584 that includes an indication of work to be done by one or more graphics processing engines of graphics acceleration module 1546. In at least one embodiment, data from WD 1584 may be stored in registers 1545 and used by MMU 1539, interrupt management circuitry 1547, and/or context management circuitry 1548, as shown. For example, one embodiment of MMU 1539 includes segment/page roaming circuitry for accessing segment/page tables 1586 within OS virtual address space 1585. In at least one embodiment, interrupt management circuitry 1547 may process interrupt events 1592 received from graphics acceleration module 1546. In at least one embodiment, effective addresses 1593 generated by graphics processing engines 1531 (1) -1531 (N) are translated to real addresses by MMU 1539 when performing graphics operations.
In at least one embodiment, registers 1545 are replicated for each graphics processing engine 1531 (1) -1531 (N) and/or graphics acceleration module 1546, and the registers 1545 may be initialized by a hypervisor or operating system. In at least one embodiment, each of these replicated registers may be included in accelerator integration slice 1590. Exemplary registers that may be initialized by the hypervisor are shown in table 1.
Figure BDA0003569386470000781
Figure BDA0003569386470000791
Exemplary registers that may be initialized by the operating system are shown in table 2.
Figure BDA0003569386470000792
In at least one embodiment, each WD 1584 is specific to a particular graphics acceleration module 1546 and/or graphics processing engine 1531 (1) -1531 (N). In at least one embodiment, it contains all the information needed by the graphics processing engines 1531 (1) -1531 (N) to complete the work, or it may be a pointer to a memory location where the application has set up the command queue for the work to be completed.
FIG. 15E illustrates additional details of one exemplary embodiment of a sharing model. This embodiment includes a hypervisor real address space 1598 in which a process element list 1599 is stored. In at least one embodiment, the hypervisor real address space 1598 is accessible via a hypervisor 1596, which hypervisor 1596 virtualizes the graphics acceleration module engine for the operating system 1595.
In at least one embodiment, the shared programming model allows all processes or a subset of processes from all partitions or a subset of partitions in the system to use graphics acceleration module 1546. In at least one embodiment, there are two programming models in which graphics acceleration module 1546 is shared by multiple processes and partitions, i.e., time slice sharing and graphics orientation sharing.
In at least one embodiment, in this model, the hypervisor 1596 owns the graphics acceleration module 1546 and makes its functionality available to all operating systems 1595. In at least one embodiment, for graphics acceleration module 1546 to support virtualization by hypervisor 1596, graphics acceleration module 1546 may comply with certain requirements such as (1) job requests of an application must be autonomous (i.e., no state needs to be maintained between jobs), or graphics acceleration module 1546 must provide a context save and restore mechanism, (2) graphics acceleration module 1546 ensures that job requests of an application are completed within a specified amount of time, including any translation errors, or graphics acceleration module 1546 provides the ability to preempt job processing, and (3) when operating in a directed sharing programming model, fairness between graphics acceleration module 1546 processes must be ensured.
In at least one embodiment, the application 1580 is required to make operating system 1595 system calls using the graphics acceleration module type, job descriptor (WD), permission mask register (AMR) value, and context save/restore area pointer (CSRP). In at least one embodiment, the graphics acceleration module type describes a target acceleration function for a system call. In at least one embodiment, the graphics acceleration module type may be a system specific value. In at least one embodiment, WD is specially formatted for graphics acceleration module 1546 and may take the form of graphics acceleration module 1546 commands, effective address pointers to user-defined structures, effective address pointers to command queues, or any other data structure describing the work to be done by graphics acceleration module 1546.
In at least one embodiment, the AMR value is an AMR state for the current process. In at least one embodiment, the values passed to the operating system are similar to the application setting AMR. In at least one embodiment, if the implementation of accelerator integrated circuit 1536 (not shown) and graphics acceleration module 1546 does not support a User Authority Mask Override Register (UAMOR), the operating system may apply the current UAMOR value to the AMR value before passing the AMR in the hypervisor call. In at least one embodiment, the hypervisor 1596 can selectively apply the current permission mask override register (AMOR) value prior to placing AMR into the process element 1583. In at least one embodiment, CSRP is one of the registers 1545 that contains the effective addresses of regions in the application's effective address space 1582 for the graphics acceleration module 1546 to save and restore context state. In at least one embodiment, this pointer is optional if there is no need to save state between jobs or when a job is preempted. In at least one embodiment, the context save/restore area may be a fixed system memory.
Upon receiving the system call, the operating system 1595 may verify that the application 1580 has registered and been granted permission to use the graphics acceleration module 1546. Then, in at least one embodiment, the operating system 1595 uses the information shown in table 3 to invoke the hypervisor 1596.
Figure BDA0003569386470000811
In at least one embodiment, upon receiving the hypervisor call, the hypervisor 1596 verifies that the operating system 1595 is registered and granted permission to use the graphics acceleration module 1546. Then, in at least one embodiment, the hypervisor 1596 places the process element 1583 in a linked list of process elements of the corresponding graphics acceleration module 1546 type. In at least one embodiment, the process elements may include the information shown in Table 4.
Figure BDA0003569386470000812
Figure BDA0003569386470000821
In at least one embodiment, the hypervisor initializes a plurality of accelerator integration slice 1590 registers 1545.
As shown in FIG. 15F, in at least one embodiment, unified memory is used that is addressable via a common virtual memory address space for accessing physical processor memory 1501 (1) -1501 (N) and GPU memory 1520 (1) -1520 (N). In this implementation, operations performed on GPUs 1510 (1) -1510 (N) access processor memories 1501 (1) -1501 (M) and vice versa using the same virtual/effective memory address space, thereby simplifying programmability. In at least one embodiment, a first portion of the virtual/effective address space is allocated to processor memory 1501 (1), a second portion is allocated to second processor memory 1501 (N), a third portion is allocated to GPU memory 1520 (1), and so on. In at least one embodiment, the entire virtual/effective memory space (sometimes referred to as the effective address space) is thus distributed in each of processor memory 1501 and GPU memory 1520, allowing any processor or GPU to access that memory using virtual addresses mapped to any physical memory.
In at least one embodiment, the bias/coherency management circuits 1594A-1594E within one or more MMUs 1539A-1539E ensure cache coherency between one or more host processors (e.g., 1505) and the cache of GPU 1510 and implement a biasing technique that indicates the physical memory in which certain types of data should be stored. In at least one embodiment, although multiple instances of the bias/coherency management circuits 1594A-1594E are shown in fig. 15F, the bias/coherency circuits may be implemented within the MMU of the one or more host processors 1505 and/or within the accelerator integrated circuit 1536.
One embodiment allows the GPU memory 1520 to be mapped as part of the system memory and accessed using Shared Virtual Memory (SVM) techniques, but does not suffer from the performance drawbacks associated with full system cache coherency. In at least one embodiment, the ability to access GPU memory 1520 as system memory without the heavy cache coherency overhead provides an advantageous operating environment for GPU offloading. In at least one embodiment, this arrangement allows software of host processor 1505 to set operands and access computational results without the overhead of traditional I/O DMA data copying. In at least one embodiment, such traditional copies include driver calls, interrupts, and memory mapped I/O (MMIO) accesses, all of which are less efficient relative to simple memory accesses. In at least one embodiment, the ability to access GPU memory 1520 without cache coherency overhead may be critical to the execution time of the offloaded computations. In at least one embodiment, for example, with a large amount of streaming write memory traffic, the cache coherency overhead can significantly reduce the effective write bandwidth seen by GPU 1510. In at least one embodiment, the efficiency of operand setup, the efficiency of result access, and the efficiency of GPU computations may play a role in determining the effectiveness of GPU offload.
In at least one embodiment, the selection of GPU bias and host processor bias is driven by a bias tracker data structure. In at least one embodiment, for example, an offset table may be used, which may be a page granularity structure (e.g., controlled at the granularity of memory pages) that includes 1 or 2 bits per GPU additional memory page. In at least one embodiment, the bias table may be implemented in a stolen memory range of one or more GPU memories 1520, with or without a bias cache in GPU 1510 (e.g., for caching frequently/recently used entries of the bias table). Alternatively, in at least one embodiment, the entire bias table may be maintained within the GPU.
In at least one embodiment, the bias table entries associated with each access to the GPU additional memory 1520 are accessed prior to actually accessing the GPU memory, resulting in the following operations. In at least one embodiment, local requests from GPU 1510 that find their pages in GPU offsets are forwarded directly to the corresponding GPU memory 1520. In at least one embodiment, local requests from the GPU for which pages were found in the host offset are forwarded to processor 1505 (e.g., over the high speed link described herein). In at least one embodiment, a request from processor 1505 to find the requested page in the host processor bias completes a request similar to a normal memory read. Alternatively, a request directed to a GPU offset page may be forwarded to GPU 1510. In at least one embodiment, if the GPU is not currently using the page, the GPU may then migrate the page to the host processor offset. In at least one embodiment, the bias state of a page may be changed by a software-based mechanism, a hardware-assisted software-based mechanism, or in limited cases by a purely hardware-based mechanism.
In at least one embodiment, a mechanism for changing the bias state employs an API call (e.g., openCL) that then calls a device driver for the GPU, which then sends a message (or an errata command description Fu Rudui) to the GPU directing the GPU to change the bias state and, in some migrations, perform cache flush operations in the host. In at least one embodiment, the cache flush operation is used for migration from host processor 1505 bias to GPU bias, but not for the opposite migration.
In at least one embodiment, cache coherency is maintained by temporarily rendering GPU offset pages that host processor 1505 cannot cache. In at least one embodiment, to access these pages, the processor 1505 may request access from the GPU 1510, and the GPU 1510 may or may not immediately grant access. Thus, in at least one embodiment, to reduce communication between processor 1505 and GPU 1510, it is beneficial to ensure that the GPU offset pages are pages required by the GPU rather than pages required by host processor 1505, and vice versa.
One or more hardware structures 115 are used to perform one or more embodiments. Details regarding one or more hardware structures 115 may be provided herein in connection with fig. 1A and/or 1B.
Fig. 16 illustrates an example integrated circuit and associated graphics processor that can be fabricated using one or more IP cores, in accordance with various embodiments described herein. In addition to the illustration, other logic and circuitry may be included in at least one embodiment, including additional graphics processors/cores, peripheral interface controllers, or general purpose processor cores.
Fig. 16 is a block diagram illustrating an exemplary system on a chip integrated circuit 1600 that can be fabricated using one or more IP cores in accordance with at least one embodiment. In at least one embodiment, integrated circuit 1600 includes one or more application processors 1605 (e.g., CPUs), at least one graphics processor 1610, and may additionally include an image processor 1615 and/or a video processor 1620, any of which may be a modular IP core. In at least one embodiment, integrated circuit 1600 includes peripheral or bus logic including USB controller 1625, UART controller 1630, SPI/SDIO controller 1635, and I22S/I22C controller 1640. In at least one embodiment, integrated circuit 1600 may include a display device 1645 coupled to one or more of a High Definition Multimedia Interface (HDMI) controller 1650 and a Mobile Industry Processor Interface (MIPI) display interface 1655. In at least one embodiment, storage may be provided by flash subsystem 1660, including flash memory and a flash controller. In at least one embodiment, a memory interface may be provided via memory controller 1665 for accessing SDRAM or SRAM memory devices. In at least one embodiment, some integrated circuits also include an embedded security engine 1670.
Inference and/or training logic 115 is operative to perform inference and/or training operations associated with one or more embodiments. Details regarding inference and/or training logic 115 are provided herein in connection with FIG. 1A and/or FIG. 1B. In at least one embodiment, inference and/or training logic 115 may be used in integrated circuit 1600 to infer or predict operations based, at least in part, on weight parameters computed using neural network training operations, neural network functions and/or architectures, or neural network use cases described herein.
17A-17B illustrate an example integrated circuit and associated graphics processor that may be fabricated using one or more IP cores, according to various embodiments described herein. In addition to the illustration, other logic and circuitry may be included in at least one embodiment, including additional graphics processors/cores, peripheral interface controllers, or general purpose processor cores.
17A-17B are block diagrams illustrating an exemplary graphics processor for use within a SoC according to embodiments described herein. Fig. 17A illustrates an example graphics processor 1710 of a system on a chip integrated circuit, which can be fabricated using one or more IP cores, according to at least one embodiment. Fig. 17B illustrates a further exemplary graphics processor 1740 of a system on a chip integrated circuit, which may be fabricated using one or more IP cores, according to at least one embodiment. In at least one embodiment, graphics processor 1710 of FIG. 17A is a low power graphics processor core. In at least one embodiment, graphics processor 1740 of FIG. 17B is a higher performance graphics processor core. In at least one embodiment, each graphics processor 1710, 1740 may be a variation of graphics processor 1610 of fig. 16.
In at least one embodiment, the graphics processor 1710 includes a vertex processor 1705 and one or more fragment processors 1715A-1715N (e.g., 1715A, 1715B, 1715C, 1715D through 1715N-1, and 1715N). In at least one embodiment, the graphics processor 1710 may execute different shader programs via separate logic, such that vertex processor 1705 is optimized to perform operations for vertex shader programs, while one or more fragment processors 1715A-1715N perform fragment (e.g., pixel) shading operations for fragments or pixels or shader programs. In at least one embodiment, vertex processor 1705 performs the vertex processing stages of the 3D graphics pipeline and generates primitives and vertex data. In at least one embodiment, one or more fragment processors 1715A-1715N use the primitives and vertex data generated by vertex processor 1705 to generate a frame buffer for display on a display device. In at least one embodiment, one or more fragment processors 1715A-1715N are optimized to execute fragment shader programs as provided in the OpenGL API, which may be used to perform similar operations to pixel shader programs provided in the Direct 3D API.
In at least one embodiment, graphics processor 1710 additionally includes one or more Memory Management Units (MMUs) 1720A-1720B, one or more caches 1725A-1725B, and one or more circuit interconnects 1730A-1730B. In at least one embodiment, one or more MMUs 1720A-1720B provide virtual to physical address mapping for graphics processor 1710, including for vertex processor 1705 and/or fragment processors 1715A-1715N, which may reference vertex or image/texture data stored in memory, in addition to vertex or image/texture data stored in one or more caches 1725A-1725B. In at least one embodiment, one or more MMUs 1720A-1720B can be synchronized with other MMUs within the system, including one or more MMUs associated with one or more application processors 1605, image processors 1615, and/or video processors 1620 of fig. 16, such that each processor 1605-1620 can participate in a shared or unified virtual memory system. In at least one embodiment, one or more circuit interconnects 1730A-1730B enable the graphics processor 1710 to connect with other IP cores within the SoC via an internal bus of the SoC or via a direct connection.
In at least one embodiment, graphics processor 1740 includes one or more shader cores 1755A-1755N (e.g., 1755A, 1755B, 1755C, 1755D, 1755E, 1755F through 1755N-1, and 1755N) that provide a unified shader core architecture, as shown in fig. 17B, in which a single core or type or core may execute all types of programmable shader code, including shader program code for implementing vertex shaders, fragment shaders, and/or compute shaders. In at least one embodiment, the plurality of shader cores may vary. In at least one embodiment, graphics processor 1740 includes an inter-core task manager 1745 that acts as a thread dispatcher to dispatch execution threads to one or more shader cores 1755A-1755N and a blocking unit 1758 to accelerate block operations based on tile rendering in which rendering operations of a scene are subdivided in image space, e.g., to exploit local spatial coherence within the scene or to optimize the use of internal caches.
Inference and/or training logic 115 is operative to perform inference and/or training operations associated with one or more embodiments. Details regarding inference and/or training logic 115 are provided herein in connection with FIG. 1A and/or FIG. 1B. In at least one embodiment, inference and/or training logic 115 may be used in integrated circuit fig. 17A and/or fig. 17B to perform inference or prediction operations based at least in part on weight parameters calculated using neural network training operations, neural network functions or architectures, or neural network use cases as described herein.
18A-18B illustrate additional exemplary graphics processor logic, according to embodiments described herein. In at least one embodiment, FIG. 18A illustrates a graphics core 1800 that may be included within graphics processor 1610 of FIG. 16, and in at least one embodiment, may be a unified shader core 1755A-1755N as shown in FIG. 17B. FIG. 18B illustrates a highly parallel general purpose graphics processing unit ("GPGPU") 1830 suitable for deployment on a multi-chip module in at least one embodiment.
In at least one embodiment, graphics core 1800 includes shared instruction cache 1802, texture unit 1818, and cache/shared memory 1820, which are common to the execution resources within graphics core 1800. In at least one embodiment, the graphics core 1800 may include multiple slices 1801A-1801N or partitions per core, and the graphics processor may include multiple instances of the graphics core 1800. In at least one embodiment, the slices 1801A-1801N may include support logic including a local instruction cache 1804A-1804N, a thread scheduler 1806A-1806N, a thread dispatcher 1808A-1808N, and a set of registers 1810A-1810N. In at least one embodiment, the slices 1801A-1801N may include a set of additional functional units (AFU 1812A-1812N), floating point units (FPU 1814A-1814N), integer arithmetic logic units (ALU 1816A-1816N), address calculation units (ACU 1813A-1813N), double precision floating point units (DPFPU 1815A-1815N), and matrix processing units (MPU 1817A-1817N).
In at least one embodiment, the FPUs 1814A-1814N may perform single precision (32-bit) and half precision (16-bit) floating point operations, while the DPFPUs 1815A-1815N perform double precision (64-bit) floating point operation-point operations. In at least one embodiment, the ALUs 1816A-1816N may perform variable precision integer operations with 8-bit, 16-bit, and 32-bit precision, and may be configured as mixed precision operations. In at least one embodiment, the MPUs 1817A-1817N may also be configured for mixed precision matrix operations, including half-precision floating-point operations and 8-bit integer operations. In at least one embodiment, the MPUs 1817-1817N may perform various matrix operations to accelerate the machine learning application framework, including generic matrix-to-matrix multiplication (GEMM) to enable support for acceleration. In at least one embodiment, AFUs 1812A-1812N can perform additional logical operations not supported by floating point or integer units, including trigonometric operations (e.g., sine, cosine, etc.).
Inference and/or training logic 115 is operable to perform inference and/or training operations associated with one or more embodiments. Details regarding inference and/or training logic 115 are provided herein in connection with FIG. 1A and/or FIG. 1B. In at least one embodiment, inference and/or training logic 115 may be used in graphics core 1800 to infer or predict operations based at least in part on weight parameters calculated using neural network training operations, neural network functions and/or architectures, or neural network use cases described herein.
FIG. 18B illustrates, in at least one embodiment, a general purpose processing unit (GPGPU) 1830 that can be configured to enable highly parallel computing operations to be performed by a set of graphics processing units. In at least one embodiment, the GPGPU 1830 may be directly linked to other instances of the GPGPU 1830 to create multiple GPU clusters to increase training speed for deep neural networks. In at least one embodiment, the GPGPU 1830 includes a host interface 1832 to enable connection to a host processor. In at least one embodiment, host interface 1832 is a PCI Express interface. In at least one embodiment, the host interface 1832 may be a vendor-specific communication interface or communication structure. In at least one embodiment, the GPGPU 1830 receives commands from a host processor and uses the global scheduler 1834 to assign execution threads associated with those commands to a set of compute clusters 1836A-1836H. In at least one embodiment, compute clusters 1836A-1836H share cache memory 1838. In at least one embodiment, the cache memory 1838 may be used as a higher level cache for cache memory within the compute clusters 1836A-1836H.
In at least one embodiment, GPGPU 1830 includes memory 1844A-1844B, which memory 1844A-1844B is coupled with compute clusters 1836A-1836H via a set of memory controllers 1842A-1842B. In at least one embodiment, memories 1844A-1844B may include various types of memory devices, including Dynamic Random Access Memory (DRAM) or graphics random access memory, such as Synchronous Graphics Random Access Memory (SGRAM), which includes Graphics Double Data Rate (GDDR) memory.
In at least one embodiment, compute clusters 1836A-1836H each include a set of graphics cores, such as graphics core 1800 of FIG. 18A, which may include various types of integer and floating point logic that may perform compute operations on various ranges of computer precision, including precision suitable for machine learning computations. For example, in at least one embodiment, at least a subset of the floating point units in each compute cluster 1836A-1836H may be configured to perform 16-bit or 32-bit floating point operations, while a different subset of the floating point units may be configured to perform 64-bit floating point operations.
In at least one embodiment, multiple instances of GPGPU 1830 may be configured to function as a compute cluster. In at least one embodiment, the communication used by compute clusters 1836A-1836H for synchronization and data exchange varies between embodiments. In at least one embodiment, multiple instances of the GPGPU 1830 communicate through the host interface 1832. In at least one embodiment, the GPGPU 1830 includes an I/O hub 1839 that couples the GPGPU 1830 with a GPU link 1840 to enable direct connection to other instances of the GPGPU 1830. In at least one embodiment, the GPU link 1840 is coupled to a dedicated GPU-to-GPU bridge that enables communication and synchronization between multiple instances of the GPGP 1830. In at least one embodiment, GPU link 1840 is coupled with a high-speed interconnect to send and receive data to other GPGPUs or parallel processors. In at least one embodiment, multiple instances of the GPGPU 1830 are located in a single data processing system and communicate through network devices accessible through the host interface 1832. In at least one embodiment, GPU link 1840 may be configured to enable connection to a host processor in addition to, or instead of, host interface 1832.
In at least one embodiment, the GPGPU 1830 may be configured to train a neural network. In at least one embodiment, a GPGPU 1830 may be used within the inference platform. In at least one embodiment, where the GPGPU 1830 is used to make inferences, the GPGPU 1830 may include fewer compute clusters 1836A-1836H relative to when the neural network is trained using the GPGPU 1830. In at least one embodiment, the memory technology associated with memories 1844A-1844B may differ between inference and training configurations, with higher bandwidth memory technologies dedicated to training configurations. In at least one embodiment, the inference configuration of the GPGPU 1830 may support inference specific instructions. For example, in at least one embodiment, the inference configuration can provide support for one or more 8-bit integer dot-product instructions that can be used during the inference operations of the deployed neural network.
Inference and/or training logic 115 is operative to perform inference and/or training operations associated with one or more embodiments. Details regarding inference and/or training logic 115 are provided herein in connection with FIG. 1A and/or FIG. 1B. In at least one embodiment, inference and/or training logic 115 may be used in GPGPU 1830 to infer or predict operations based at least in part on weight parameters calculated using neural network training operations, neural network functions and/or architectures, or neural network use cases described herein.
Fig. 19 illustrates a block diagram of a computer system 1900 in accordance with at least one embodiment. In at least one embodiment, the computer system 1900 includes a processing subsystem 1901 having one or more processors 1902 and a system memory 1904, the system memory 1904 communicating via an interconnection path that may include a memory hub 1905. In at least one embodiment, the memory hub 1905 may be a separate component within the chipset component or may be integrated within the one or more processors 1902. In at least one embodiment, the memory hub 1905 is coupled with the I/O subsystem 1911 by a communication link 1906. In one embodiment, the I/O subsystem 1911 includes an I/O hub 1907 that may enable the computer system 1900 to receive input from one or more input devices 1908. In at least one embodiment, the I/O hub 1907 may cause a display controller, which may be included in the one or more processors 1902, to provide output to one or more display devices 1910A. In at least one embodiment, the one or more display devices 1910A coupled with the I/O hub 1907 may include local, internal, or embedded display devices.
In at least one embodiment, the processing subsystem 1901 includes one or more parallel processors 1912 coupled to a memory hub 1905 via a bus or other communication link 1913. In at least one embodiment, the communication link 1913 may use any of a number of standards-based communication link technologies or protocols, such as but not limited to PCI Express, or may be a vendor-specific communication interface or communication fabric. In at least one embodiment, one or more parallel processors 1912 form a computationally intensive parallel or vector processing system that may include a large number of processing cores and/or processing clusters, such as Multiple Integrated Core (MIC) processors. In at least one embodiment, the one or more parallel processors 1912 form a graphics processing subsystem that can output pixels to one of the one or more display devices 1910A coupled via the I/O hub 1907. In at least one embodiment, the parallel processor 1912 may also include a display controller and a display interface (not shown) to enable direct connection to one or more display devices 1910B.
In at least one embodiment, a system memory unit 1914 may be connected to the I/O hub 1907 to provide a storage mechanism for the computer system 1900. In at least one embodiment, the I/O switch 1916 may be used to provide an interface mechanism to enable connections between the I/O hub 1907 and other components, such as a network adapter 1918 and/or a wireless network adapter 1919, which may be integrated into the platform, as well as various other devices that may be added via one or more additional devices 1920. In at least one embodiment, the network adapter 1918 can be an ethernet adapter or another wired network adapter. In at least one embodiment, the wireless network adapter 1919 may include one or more of Wi-Fi, bluetooth, near Field Communication (NFC), or other network devices including one or more radios.
In at least one embodiment, computer system 1900 may include other components not explicitly shown, including USB or other port connections, optical storage drives, video capture devices, etc., which may also be connected to I/O hub 1907. In at least one embodiment, the communication paths interconnecting the various components in FIG. 19, such as the NV-Link high speed interconnect or interconnect protocol, may be implemented using any suitable protocol, such as a PCI (peripheral component interconnect) -based protocol (e.g., PCI-Express) or other bus or point-to-point communication interfaces and/or protocols.
In at least one embodiment, one or more parallel processors 1912 include circuitry optimized for graphics and video processing, including, for example, video output circuitry, and constituting a Graphics Processing Unit (GPU). In at least one embodiment, the parallel processor 1912 includes circuitry optimized for general purpose processing. In at least one embodiment, components of computer system 1900 may be integrated with one or more other system elements on a single integrated circuit. For example, in at least one embodiment, the parallel processor 1912, the memory hub 1905, the processor 1902, and the I/O hub 1907 may be integrated into a system on a chip (SoC) integrated circuit. In at least one embodiment, the components of computer system 1900 may be integrated into a single package to form a System In Package (SIP) configuration. In at least one embodiment, at least a portion of the components of computer system 1900 may be integrated into a multi-chip module (MCM) that may be interconnected with other multi-chip modules into a modular computer system.
Inference and/or training logic 115 is operative to perform inference and/or training operations associated with one or more embodiments. Details regarding inference and/or training logic 115 are provided herein in connection with FIG. 1A and/or FIG. 1B. In at least one embodiment, the inference and/or training logic 115 can be employed in the computing system 1900 of fig. 19 for inferring or predicting operations based at least in part on weight parameters computed using neural network training operations, neural network functions and/or architectures or neural network use cases described herein.
Processor with a memory having a plurality of memory cells
FIG. 20A illustrates a parallel processor 2000 according to at least one embodiment. In at least one embodiment, the various components of parallel processor 2000 may be implemented using one or more integrated circuit devices, such as a programmable processor, an Application Specific Integrated Circuit (ASIC), or a Field Programmable Gate Array (FPGA). In at least one embodiment, the illustrated parallel processor 2000 is a variation of the one or more parallel processors 1912 illustrated in FIG. 19 in accordance with the illustrative embodiments.
In at least one embodiment, parallel processor 2000 includes a parallel processing unit 2002. In at least one embodiment, parallel processing unit 2002 includes an I/O unit 2004 that enables communication with other devices, including other instances of parallel processing unit 2002. In at least one embodiment, the I/O unit 2004 may be directly connected to other devices. In at least one embodiment, the I/O unit 2004 connects with other devices using a hub or switch interface (e.g., memory hub 2105). In at least one embodiment, the connection between the memory hub 2005 and the I/O unit 2004 forms a communication link 2013. In at least one embodiment, the I/O unit 2004 is connected with a host interface 2006 and a memory crossbar 2016 where the host interface 2006 receives commands for performing processing operations and the memory crossbar 2016 receives commands for performing memory operations.
In at least one embodiment, when the host interface 2006 receives command buffers via the I/O unit 2004, the host interface 2006 can direct work operations to execute those commands to the front end 2008. In at least one embodiment, front end 2008 is coupled with a scheduler 2010, scheduler 2010 configured to assign commands or other work items to processing cluster array 2012. In at least one embodiment, scheduler 2010 ensures that processing cluster array 2012 is properly configured and in an active state before tasks are assigned to processing cluster array 2012. In at least one embodiment, scheduler 2010 is implemented by firmware logic executing on a microcontroller. In at least one embodiment, microcontroller-implemented scheduler 2010 may be configured to perform complex scheduling and work allocation operations at both coarse and fine granularity, thereby enabling fast preemption and context switching of threads executing on processing array 2012. In at least one embodiment, the host software may certify a workload for scheduling on the processing array 2012 through one of a plurality of graphics processing paths. In at least one embodiment, the workload may then be automatically allocated on processing array 2012 by scheduler 2010 logic within the microcontroller that includes scheduler 2010.
In at least one embodiment, processing cluster array 2012 can include up to "N" processing clusters (e.g., cluster 2014A, cluster 2014B through cluster 2014N), where "N" represents a positive integer (which can be a different integer than the integer "N" used in the other figures). In at least one embodiment, each cluster 2014A-2014N of the processing cluster array 2012 may execute a number of concurrent threads. In at least one embodiment, scheduler 2010 may assign jobs to clusters 2014A-2014N of processing cluster array 2012 using various scheduling and/or job assignment algorithms, which may vary depending on the workload generated by each program or computing type. In at least one embodiment, scheduling may be dynamically handled by scheduler 2010, or may be partially assisted by compiler logic during compilation of program logic configured for execution by processing cluster array 2012. In at least one embodiment, different clusters 2014A-2014N of processing cluster array 2012 may be allocated for processing different types of programs or for performing different types of computations.
In at least one embodiment, the processing cluster array 2012 may be configured to perform various types of parallel processing operations. In at least one embodiment, the processing cluster array 2012 is configured to perform general purpose parallel computing operations. For example, in at least one embodiment, the processing cluster array 2012 may include logic that performs processing tasks including filtering of video and/or audio data, performing modeling operations including physical operations, and performing data transformations.
In at least one embodiment, the processing cluster array 2012 is configured to perform parallel graphics processing operations. In at least one embodiment, the processing cluster array 2012 may include additional logic to support the performance of such graphics processing operations including, but not limited to, texture sampling logic to perform texture operations, as well as tessellation logic and other vertex processing logic. In at least one embodiment, processing cluster array 2012 may be configured to execute shader programs related to graphics processing such as, but not limited to, vertex shaders, tessellation shaders, geometry shaders, and pixel shaders. In at least one embodiment, parallel processing unit 2002 may transfer data from system memory for processing via I/O unit 2004. In at least one embodiment, during processing, the transferred data may be stored to an on-chip memory (e.g., parallel processor memory 2022) and then written back to system memory during processing.
In at least one embodiment, when the parallel processing unit 2002 is used to perform graphics processing, the scheduler 2010 may be configured to divide the processing workload into approximately equal sized tasks to better allocate graphics processing operations to the multiple clusters 2014A-2014N of the processing cluster array 2012. In at least one embodiment, portions of the processing cluster array 2012 may be configured to perform different types of processing. For example, in at least one embodiment, a first portion may be configured to perform vertex shading and topology generation, a second portion may be configured to perform tessellation and geometry shading, and a third portion may be configured to perform pixel shading or other screen space operations to generate a rendered image for display. In at least one embodiment, intermediate data generated by one or more of clusters 2014A-2014N may be stored in a buffer to allow the intermediate data to be transferred between clusters 2014A-2014N for further processing.
In at least one embodiment, processing cluster array 2012 may receive processing tasks to be executed via scheduler 2010, which scheduler 2010 receives commands defining processing tasks from front end 2008. In at least one embodiment, a processing task may include an index of data to be processed, e.g., surface (patch) data, raw data, vertex data, and/or pixel data, as well as state parameters and commands defining how to process the data (e.g., what program to execute). In at least one embodiment, the scheduler 2010 may be configured to obtain an index corresponding to a task or may receive an index from the front end 2008. In at least one embodiment, the front end 2008 may be configured to ensure that the processing cluster array 2012 is configured to an active state prior to launching a workload specified by an incoming command buffer (e.g., batch-buffer, push buffer, etc.).
In at least one embodiment, each of the one or more instances of parallel processing unit 2002 can be coupled with a parallel processor memory 2022. In at least one embodiment, the parallel processor memory 2022 may be accessed via a memory crossbar 2016, which memory crossbar 2016 may receive memory requests from the processing cluster array 2012 and the I/O unit 2004. In at least one embodiment, memory crossbar 2016 may access parallel processor memory 2022 via memory interface 2018. In at least one embodiment, memory interface 2018 may include a plurality of partition units (e.g., partition unit 2020A, partition unit 2020B, through partition unit 2020N) that may each be coupled to a portion (e.g., a memory unit) of parallel processor memory 2022. In at least one embodiment, the plurality of partition units 2020A-2020N is configured to equal the number of memory units such that a first partition unit 2020A has a corresponding first memory unit 2024A, a second partition unit 2020B has a corresponding memory unit 2024B, and an Nth partition unit 2020N has a corresponding Nth memory unit 2024N. In at least one embodiment, the number of partition units 2020A-2020N may not equal the number of memory units.
In at least one embodiment, memory units 2024A-2024N may include various types of memory devices including Dynamic Random Access Memory (DRAM) or graphics random access memory, such as Synchronous Graphics Random Access Memory (SGRAM), including Graphics Double Data Rate (GDDR) memory. In at least one embodiment, memory units 2024A-2024N may also include 3D stacked memory, including but not limited to High Bandwidth Memory (HBM). In at least one embodiment, render targets, such as frame buffers or texture maps, may be stored across the memory units 2024A-2024N, allowing the partition units 2020A-2020N to write portions of each render target in parallel to efficiently use the available bandwidth of the parallel processor memory 2022. In at least one embodiment, local instances of the parallel processor memory 2022 may be excluded to facilitate a unified memory design that utilizes system memory in combination with local cache memory.
In at least one embodiment, any of the clusters 2014A-2014N of the processing cluster array 2012 may process data to be written to any of the memory units 2024A-2024N within the parallel processor memory 2022. In at least one embodiment, the memory crossbar 2016 may be configured to transfer the output of each cluster 2014A-2014N to any partition unit 2020A-2020N or another cluster 2014A-2014N on which the clusters 2014A-2014N may perform other processing operations. In at least one embodiment, each cluster 2014A-2014N may communicate with memory interface 2018 through memory crossbar 2016 to read from or write to various external storage devices. In at least one embodiment, the memory crossbar 2016 has connections to memory interfaces 2018 to communicate with the I/O unit 2004, and connections to local instances of parallel processor memory 2022 to allow processing units within the different processing clusters 2014A-2014N to communicate with system memory or other memory not local to the parallel processing unit 2002. In at least one embodiment, the memory crossbar 2016 may use virtual lanes to separate traffic flows between the clusters 2014A-2014N and the partition units 2020A-2020N.
In at least one embodiment, multiple instances of the parallel processing unit 2002 may be provided on a single plug-in card, or multiple plug-in cards may be interconnected. In at least one embodiment, different instances of parallel processing unit 2002 may be configured to operate with each other even if the different instances have different numbers of processing cores, different numbers of local parallel processor memories, and/or other configuration differences. For example, in at least one embodiment, some instances of the parallel processing unit 2002 may include a higher precision floating point unit relative to other instances. In at least one embodiment, a system incorporating one or more instances of parallel processing unit 2002 or parallel processor 2000 may be implemented in various configurations and form factors, including but not limited to a desktop, laptop or handheld personal computer, server, workstation, gaming console, and/or embedded system.
Fig. 20B is a block diagram of a partition unit 2020, according to at least one embodiment. In at least one embodiment, partition unit 2020 is an example of one of partition units 2020A-2020N of FIG. 20A. In at least one embodiment, partition unit 2020 includes an L2 cache 2021, a frame buffer interface 2025, and a ROP 2026 (raster operations unit). In at least one embodiment, the L2 cache 2021 is a read/write cache configured to perform load and store operations received from the memory crossbar 2016 and the ROP 2026. In at least one embodiment, the L2 cache 2021 outputs read misses and urgent writeback requests to the frame buffer interface 2025 for processing. In at least one embodiment, updates may also be sent to a frame buffer for processing via the frame buffer interface 2025. In at least one embodiment, the frame buffer interface 2025 interacts with one of the memory units in the parallel processor memory, such as memory units 2024A-2024N of FIG. 20A (e.g., within the parallel processor memory 2022).
In at least one embodiment, the ROP 2026 is a processing unit that performs raster operations, such as stencil, z-test, blending, and the like. In at least one embodiment, the ROP 2026 then outputs the processed graphics data stored in the graphics memory. In at least one embodiment, ROP 2026 includes compression logic to compress depth or color data written to memory and decompress depth or color data read from memory. In at least one embodiment, the compression logic may be lossless compression logic that utilizes one or more of a plurality of compression algorithms. In at least one embodiment, the type of compression performed by the ROP 2026 may vary based on statistical characteristics of the data to be compressed. For example, in at least one embodiment, incremental color compression is performed based on depth and color data on a per tile basis.
In at least one embodiment, ROP 2026 is included within each processing cluster (e.g., clusters 2014A-2014N of fig. 20A), rather than within partition unit 2020. In at least one embodiment, read and write requests for pixel data are transmitted through the memory crossbar 2016 instead of the pixel fragment data. In at least one embodiment, the processed graphics data may be displayed on a display device (such as one of the one or more display devices 1910 of fig. 19), routed for further processing by processor 1302, or routed for further processing by one of the processing entities within parallel processor 2000 of fig. 20A.
Figure 20C is a block diagram of a processing cluster 2014 within a parallel processing unit in accordance with at least one embodiment. In at least one embodiment, a processing cluster is an example of one of processing clusters 2014A-2014N of FIG. 20A. In at least one embodiment, processing cluster 2014 may be configured to execute a number of threads in parallel, where a "thread" refers to an instance of a particular program executing on a particular set of input data. In at least one embodiment, single Instruction Multiple Data (SIMD) instruction issue techniques are used to support parallel execution of a large number of threads without providing multiple independent instruction units. In at least one embodiment, single instruction multi-threading (SIMT) techniques are used to support parallel execution of a large number of generally simultaneous threads, using a common instruction unit configured to issue instructions to a set of processing engines within each processing cluster.
In at least one embodiment, the operation of the processing cluster 2014 may be controlled by a pipeline manager 2032 which distributes processing tasks to SIMT parallel processors. In at least one embodiment, the pipeline manager 2032 receives instructions from the scheduler 2010 of FIG. 20A, and manages the execution of those instructions by the graphics multiprocessor 2034 and/or the texture unit 2036. In at least one embodiment, the graphics multiprocessor 2034 is an illustrative example of a SIMT parallel processor. However, in at least one embodiment, various types of SIMT parallel processors of different architectures may be included within processing cluster 2014. In at least one embodiment, one or more instances of graphics multiprocessor 2034 can be included within processing cluster 2014. In at least one embodiment, the graphics multiprocessor 2034 may process data, and the data crossbar 2040 may be used to distribute the processed data to one of a number of possible destinations (including other shader units). In at least one embodiment, the pipeline manager 2032 may facilitate the distribution of processed data by specifying a destination for the processed data to be distributed via the data crossbar 2040.
In at least one embodiment, each graphics multiprocessor 2034 within processing cluster 2014 can include the same set of function execution logic (e.g., arithmetic logic unit, load store unit, etc.). In at least one embodiment, the function execution logic may be configured in a pipelined manner, wherein a new instruction may be issued before a previous instruction completes. In at least one embodiment, the function execution logic supports a variety of operations including integer and floating point arithmetic, comparison operations, boolean operations, shifting, and computation of various algebraic functions. In at least one embodiment, different operations may be performed by the same functional unit hardware, and any combination of functional units may be present.
In at least one embodiment, instructions delivered to processing clusters 2014 constitute threads. In at least one embodiment, the set of threads executing across a set of parallel processing engines is a thread group. In at least one embodiment, the thread groups execute a common program on different input data. In at least one embodiment, each thread within a thread group may be assigned to a different processing engine within graphics multiprocessor 2034. In at least one embodiment, the thread group may include fewer threads than the plurality of processing engines within the graphics multiprocessor 2034. In at least one embodiment, when a thread group includes fewer threads than the number of processing engines, one or more processing engines may be idle during a cycle in which the thread group is being processed. In at least one embodiment, the thread group may also include more threads than multiple processing engines within the graphics multiprocessor 2034. In at least one embodiment, processing may be performed in consecutive clock cycles when the thread group includes more threads than the number of processing engines within the graphics multiprocessor 2034. In at least one embodiment, multiple thread groups may be executing simultaneously on the graphics multiprocessor 2034.
In at least one embodiment, the graphics multiprocessor 2034 includes an internal cache memory to perform load and store operations. In at least one embodiment, graphics multiprocessor 2034 may forego internal caching and use cache memory within processing cluster 2014 (e.g., L1 cache 2048). In at least one embodiment, each graphics multiprocessor 2034 may also access an L2 cache within partition units (e.g., partition units 2020A-2020N of fig. 20A) that are shared among all processing clusters 2014 and may be used to transfer data between threads. In at least one embodiment, the graphics multiprocessor 2034 may also access an off-chip global memory, which may include one or more of local parallel processor memory and/or system memory. In at least one embodiment, any memory external to parallel processing unit 2002 may be used as global memory. In at least one embodiment, processing cluster 2014 includes multiple instances of graphics multiprocessor 2034, which may share common instructions and data that may be stored in L1 cache 2048.
In at least one embodiment, each processing cluster 2014 can include a memory management unit ("MMU") 2045 configured to map virtual addresses to physical addresses. In at least one embodiment, one or more instances of MMU 2045 may reside within memory interface 2018 of fig. 20A. In at least one embodiment, the MMU 2045 includes a set of Page Table Entries (PTEs) for mapping virtual addresses to physical addresses of tiles and optionally to cache line indices. In at least one embodiment, the MMU 2045 may include an address Translation Lookaside Buffer (TLB) or cache that may reside within the graphics multiprocessor 2034 or L1 cache 2048 or processing cluster 2014. In at least one embodiment, the physical addresses are processed to assign surface data access locality for efficient request interleaving among partition units. In at least one embodiment, the cache line index may be used to determine whether a request for a cache line is a hit or a miss.
In at least one embodiment, the processing clusters 2014 may be configured such that each graphics multiprocessor 2034 is coupled to a texture unit 2036 to perform texture mapping operations that determine texture sample locations, read texture data, and filter texture data. In at least one embodiment, texture data is read from an internal texture L1 cache (not shown) or from an L1 cache within the graphics multiprocessor 2034 and fetched from an L2 cache, local parallel processor memory, or system memory, as needed. In at least one embodiment, each graphics multiprocessor 2034 outputs processed tasks to the data crossbar 2040 to provide processed tasks to another processing cluster 2014 for further processing or to store processed tasks in an L2 cache, local parallel processor memory, or system memory via the memory crossbar 2016. In at least one embodiment, preROP 2042 (pre-raster operations unit) is configured to receive data from graphics multiprocessor 2034, direct the data to ROP units that may be located with partition units described herein (e.g., partition units 2020A-2020N of FIG. 20A). In at least one embodiment, the PreROP 2042 unit may perform optimizations for color mixing, organize pixel color data, and perform address translation.
Inference and/or training logic 115 is operative to perform inference and/or training operations associated with one or more embodiments. Details regarding inference and/or training logic 115 are provided herein in connection with FIG. 1A and/or FIG. 1B. In at least one embodiment, inference and/or training logic 115 may be used in graphics processing cluster 2014 to perform inference or predictive operations based at least in part on weight parameters calculated using neural network training operations, neural network functions, and/or architectural or neural network use cases described herein.
Fig. 20D illustrates a graphics multiprocessor 2034, in accordance with at least one embodiment. In at least one embodiment, the graphics multiprocessor 2034 is coupled to the pipeline manager 2032 of the processing cluster 2014. In at least one embodiment, the graphics multiprocessor 2034 has execution pipelines including, but not limited to, an instruction cache 2052, instruction units 2054, an address mapping unit 2056, register files 2058, one or more General Purpose Graphics Processing Unit (GPGPU) cores 2062, and one or more load/store units 2066. In at least one embodiment, the GPGPU core 2062 and the load/store unit 2066 are coupled with the cache memory 2072 and the shared memory 2070 by a memory and cache interconnect 2068.
In at least one embodiment, the instruction cache 2052 receives a stream of instructions to be executed from the pipeline manager 2032. In at least one embodiment, instructions are cached in the instruction cache 2052 and dispatched for execution by the instruction unit 2054. In one embodiment, the instruction unit 2054 may dispatch instructions as thread groups (e.g., thread bundles) with each thread of a thread group assigned to a different execution unit within the GPGPU core 2062. In at least one embodiment, an instruction may access any local, shared, or global address space by specifying an address within the unified address space. In at least one embodiment, the address mapping unit 2056 may be used to translate addresses in a unified address space to different memory addresses that may be accessed by the load/store unit 2066.
In at least one embodiment, the register file 2058 provides a set of registers for the functional units of the graphics multiprocessor 2034. In at least one embodiment, the register file 2058 provides temporary storage for operands connected to the datapath of the functional units of the graphics multiprocessor 2034 (e.g., the GPGPU core 2062, the load/store unit 2066). In at least one embodiment, register file 2058 is divided among each functional unit such that a dedicated portion of register file 2058 is allocated for each functional unit. In at least one embodiment, the register file 2058 is divided between different thread bundles being executed by the graphics multiprocessor 2034.
In at least one embodiment, the GPGPU cores 2062 may each include a Floating Point Unit (FPU) and/or an integer Arithmetic Logic Unit (ALU) for executing instructions of the graphics multiprocessor 2034. In at least one embodiment, the GPGPU cores 2062 may be similar in architecture or may differ in architecture. In at least one embodiment, the first portion of the GPGPU core 2062 includes single precision FPUs and integer ALUs, while the second portion of the GPGPU core includes double precision FPUs. In at least one embodiment, the FPU may implement the IEEE 754-2008 standard floating point algorithm or enable the variable precision floating point algorithm. In at least one embodiment, the graphics multiprocessor 2034 may additionally include one or more fixed-function or special-function units for performing specific functions, such as copying rectangles or pixel blending operations. In at least one embodiment, one or more of GPGPU cores 2062 may also include fixed or special function logic.
In at least one embodiment, GPGPU core 2062 comprises SIMD logic capable of executing a single instruction on multiple sets of data. In one embodiment, GPGPU core 2062 may physically execute SIMD4, SIMD8, and SIMD16 instructions, and logically execute SIMD1, SIMD2, and SIMD32 instructions. In at least one embodiment, SIMD instructions for a GPGPU core may be generated by a shader compiler at compile time, or automatically generated when executing a program written and compiled for a Single Program Multiple Data (SPMD) or SIMT architecture. In at least one embodiment, multiple threads of a program configured for the SIMT execution model may be executed by a single SIMD instruction. For example, in at least one embodiment, eight SIMT threads performing the same or similar operations may be executed in parallel by a single SIMD8 logic unit.
In at least one embodiment, the memory and cache interconnect 2068 is an interconnect network that connects each functional unit of the graphics multiprocessor 2034 to the register file 2058 and the shared memory 2070. In at least one embodiment, the memory and cache interconnects 2068 are crossbar interconnects that allow the load/store unit 2066 to perform load and store operations between the shared memory 2070 and the register file 2058. In at least one embodiment, register file 2058 may operate at the same frequency as GPGPU core 2062, so that the latency of data transfers between GPGPU core 2062 and register file 2058 is very low. In at least one embodiment, the shared memory 2070 may be used to enable communication between threads executing on functional units within the graphics multiprocessor 2034. In at least one embodiment, cache memory 2072 may serve as, for example, a data cache to cache texture data communicated between the functional units and texture unit 2036. In at least one embodiment, shared memory 2070 also serves as a cache for program management. In at least one embodiment, threads executing on GPGPU core 2062 may programmatically store data in shared memory in addition to automatically cached data stored in cache memory 2072.
In at least one embodiment, a parallel processor or GPGPU as described herein is communicatively coupled to a host/processor core to accelerate graphics operations, machine learning operations, pattern analysis operations, and various General Purpose GPU (GPGPU) functions. In at least one embodiment, the GPU may be communicatively coupled to the host processor/core via a bus or other interconnect (e.g., a high speed interconnect such as PCIe or NVLink). In at least one embodiment, the GPU may be integrated with the core on a package or chip and communicatively coupled to the core through an internal processor bus/interconnect internal to the package or chip. In at least one embodiment, regardless of the manner in which the GPU is connected, the processor core may assign work to the GPU in the form of a sequence of commands/instructions contained in a work descriptor. In at least one embodiment, the GPU then uses special-purpose circuitry/logic to efficiently process these commands/instructions.
Inference and/or training logic 115 is operative to perform inference and/or training operations associated with one or more embodiments. Details regarding inference and/or training logic 115 are provided below in connection with FIG. 1A and/or FIG. 1B. In at least one embodiment, inference and/or training logic 115 may be used in graphics multiprocessor 2034 to perform inference or prediction operations based, at least in part, on weight parameters computed using neural network training operations, neural network functions, and/or architectures or neural network use cases described herein.
Fig. 21 illustrates a multi-GPU computing system 2100 in accordance with at least one embodiment. In at least one embodiment, the multi-GPU computing system 2100 can include a processor 2102 coupled to a plurality of general purpose graphics processing units (GPGPGPUs) 2106A-D via a host interface switch 2104. In at least one embodiment, the host interface switch 2104 is a PCI Express switch device that couples the processor 2102 to a PCI Express bus, through which the processor 2102 can communicate with the GPGPU 2106A-D. In at least one embodiment, GPGPGPUs 2106A-D may be interconnected via a set of high speed P2P GPU-to-GPU links 2116. In at least one embodiment, GPU-to-GPU link 2116 is connected to each of the GPGPGPUs 2106A-D via a dedicated GPU link. In at least one embodiment, the P2P GPU link 2116 enables direct communication between each GPGPU 2106A-D without communicating through the host interface bus 2104 to which the processor 2102 is connected. In at least one embodiment, where GPU-to-GPU traffic is directed to P2P GPU link 2116, host interface bus 2104 remains available for system memory access or communication with other instances of multi-GPU computing system 2100, e.g., via one or more network devices. While in at least one embodiment, GPGPGPU 2106A-D is connected to processor 2102 via host interface switch 2104, in at least one embodiment, processor 2102 includes direct support for P2P GPU link 2116 and may be connected directly to GPGPU 2106A-D.
Inference and/or training logic 115 is operative to perform inference and/or training operations associated with one or more embodiments. Details regarding inference and/or training logic 115 are provided herein in connection with FIG. 1A and/or FIG. 1B. In at least one embodiment, inference and/or training logic 115 may be used in multi-GPU computing system 1500 for performing inference or prediction operations based at least in part on weight parameters computed using neural network training operations, neural network functions, and/or architectural or neural network use cases described herein.
FIG. 22 is a block diagram of a graphics processor 2200 in accordance with at least one embodiment. In at least one embodiment, graphics processor 2200 includes a ring interconnect 2202, a pipeline front end 2204, a media engine 2237, and graphics cores 2280A-2280N. In at least one embodiment, a ring interconnect 2202 couples the graphics processor 2200 to other processing units, including other graphics processors or one or more general purpose processor cores. In at least one embodiment, graphics processor 2200 is one of many processors integrated within a multi-core processing system.
In at least one embodiment, the graphics processor 2200 receives multiple batches of commands via the ring interconnect 2202. In at least one embodiment, the incoming commands are interpreted by a command streamer (streamer) 2203 in the pipeline front end 2204. In at least one embodiment, graphics processor 2200 includes extensible execution logic to perform 3D geometry processing and media processing via graphics cores 2280A-2280N. In at least one embodiment, for 3D geometry processing commands, command streamer 2203 provides the commands to geometry pipeline 2236. In at least one embodiment, for at least some media processing commands, command streamer 2203 provides the commands to a video front end 2234, which is coupled to a media engine 2237. In at least one embodiment, the media engine 2237 includes a Video Quality Engine (VQE) 2230 for video and image post-processing, and a multi-format encode/decode (MFX) 2233 engine for providing hardware accelerated media data encoding and decoding. In at least one embodiment, the geometry pipeline 2236 and the media engine 2237 each generate execution threads for thread execution resources provided by the at least one graphics core 2280.
In at least one embodiment, graphics processor 2200 includes extensible thread execution resources with (healing) graphics cores 2280A-2280N (which may be modular and sometimes referred to as core slices), each graphics core having multiple sub-cores 2250A-2250N,2260A-2260N (sometimes referred to as core sub-slices). In at least one embodiment, graphics processor 2200 may have any number of graphics cores 2280A. In at least one embodiment, graphics processor 2200 includes a graphics core 2280A having at least a first sub-core 2250A and a second sub-core 2260A. In at least one embodiment, graphics processor 2200 is a low power processor with a single sub-core (e.g., 2250A). In at least one embodiment, graphics processor 2200 includes a plurality of graphics cores 2280A-2280N, each graphics core including a set of first sub-cores 2250A-2250N and a set of second sub-cores 2260A-2260N. In at least one embodiment, each of the first sub-cores 2250A-2250N includes at least a first group of execution units 2252A-2252N and media/texture samplers 2254A-2254N. In at least one embodiment, each of the second sub-cores 2260A-2260N includes at least a second set of execution units 2262A-2262N and samplers 2264A-2264N. In at least one embodiment, each child core 2250A-2250N,2260A-2260N shares a set of shared resources 2270A-2270N. In at least one embodiment, the shared resources include a shared cache memory and pixel operation logic.
Inference and/or training logic 115 is operative to perform inference and/or training operations associated with one or more embodiments. Details regarding inference and/or training logic 115 are provided herein in connection with FIG. 1A and/or FIG. 1B. In at least one embodiment, inference and/or training logic 115 may be used in graphics processor 2200 to perform inference or predictive operations based, at least in part, on weight parameters computed using neural network training operations, neural network functions, and/or architectures or neural network use cases described herein.
Fig. 23 is a block diagram illustrating a micro-architecture for a processor 2300 that may include logic circuitry to execute instructions, according to at least one embodiment. In at least one embodiment, processor 2300 can execute instructions including x86 instructions, ARM instructions, application specific instructions for an Application Specific Integrated Circuit (ASIC), and the like. In at least one embodiment, processor 2300 may include registers for storing package data, such as a 64-bit wide MMXTM register in a microprocessor enabled with MMX technology by Intel corporation of Santa Clara, calif. In at least one embodiment, MMX registers available in integer and floating point form may be run with packed data elements that accompany single instruction multiple data ("SIMD") and streaming SIMD extension ("SSE") instructions. In at least one embodiment, 128-bit wide XMM registers relating to SSE2, SSE3, SSE4, AVX or higher version (commonly referred to as "SSEx") technology can hold such packed data operands. In at least one embodiment, processor 2300 can execute instructions to accelerate machine learning or deep learning algorithms, training, or reasoning.
In at least one embodiment, processor 2300 includes an in-order front end ("front end") 2301 to fetch instructions to be executed and prepare the instructions for later use in a processor pipeline. In at least one embodiment, front end 2301 may include several units. In at least one embodiment, the instruction prefetcher 2326 fetches instructions from memory and provides the instructions to the instruction decoder 2328, which in turn decodes or interprets the instructions by the instruction decoder 2328. For example, in at least one embodiment, the instruction decoder 2328 decodes the received instructions into one or more operations that the machine may perform, so-called "micro-instructions" or "micro-operations" (also referred to as "micro-operations" or "micro-instructions"). In at least one embodiment, the instruction decoder 2328 parses the instruction into an opcode and corresponding data and control fields that may be used by the micro-architecture to perform operations in accordance with at least one embodiment. In at least one embodiment, the trace cache 2330 may assemble decoded microinstructions into program ordered sequences or traces in the microinstruction queue 2334 for execution. In at least one embodiment, microcode ROM 2332 provides the microinstructions needed to complete an operation when complex instructions are encountered by trace cache 2330.
In at least one embodiment, some instructions may be converted into a single micro-operation, while other instructions may require several micro-operations to complete the entire operation. In at least one embodiment, if more than four microinstructions are needed to complete an instruction, the instruction decoder 2328 may access the microcode ROM 2332 to execute the instruction. In at least one embodiment, instructions may be decoded into a small number of microinstructions for processing at the instruction decoder 2328. In at least one embodiment, if multiple microinstructions are needed to complete the operation, the instructions may be stored in microcode ROM 2332. In at least one embodiment, the trace cache 2330 references entry point programmable logic arrays ("PLAs") to determine the correct micro-instruction pointers for reading micro-code sequences from the micro-code ROM 2332 to complete one or more instructions in accordance with at least one embodiment. In at least one embodiment, the front end 2301 of the machine may resume fetching micro-operations from the trace cache 2330 after the microcode ROM 2332 completes ordering micro-operations for instructions.
In at least one embodiment, an out-of-order execution engine ("out-of-order engine") 2303 may prepare instructions for execution. In at least one embodiment, the out-of-order execution logic has multiple buffers to smooth and reorder the stream of instructions to optimize performance as instructions descend down the pipeline and are scheduled to execute. In at least one embodiment, the out-of-order execution engine 2303 includes, but is not limited to, a dispatcher/register renamer 2340, a memory micro-instruction queue 2342, an integer/floating-point micro-instruction queue 2344, a memory scheduler 2346, a fast scheduler 2302, a slow/general floating-point scheduler ("slow/general FP scheduler") 2304, and a simple floating-point scheduler ("simple FP scheduler") 2306. In at least one embodiment, the fast scheduler 2302, the slow/general floating point scheduler 2304, and the simple floating point scheduler 2306 are also collectively referred to as "micro instruction schedulers 2302, 2304, 2306". In at least one embodiment, allocator/register renamer 2340 allocates the machine buffers and resources required for execution of each microinstruction in sequence. In at least one embodiment, allocator/register renamer 2340 renames logical registers to entries in a register file. In at least one embodiment, the allocator/register renamer 2340 also allocates an entry for each of the microinstructions in one of two microinstruction queues, a memory microinstruction queue 2342 for memory operations and an integer/floating point microinstruction queue 2344 for non-memory operations, ahead of the memory scheduler 2346 and the microinstruction schedulers 2302, 2304, 2306. In at least one embodiment, the microinstruction schedulers 2302, 2304, 2306 determine when a microinstruction is ready to be executed based on the readiness of their dependent input register operand sources and the availability of execution resource microinstructions that need to be completed. The fast scheduler 2302 for at least one embodiment may schedule on each half of the main clock cycle, while the slow/general floating point scheduler 2304 and the simple floating point scheduler 2306 may schedule once per main processor clock cycle. In at least one embodiment, the uop schedulers 2302, 2304, 2306 arbitrate among the scheduling ports to schedule uops for execution.
In at least one embodiment, the execution blocks 2311 include, but are not limited to, an integer register file/bypass network 2308, a floating point register file/bypass network ("FP register file/bypass network") 2310, address generation units ("AGUs") 2312 and 2314, fast arithmetic logic units ("fast ALUs") 2316 and 2318, slow arithmetic logic units ("slow ALUs") 2320, floating point ALUs ("FP") 2322, and floating point move units ("FP move") 2324. In at least one embodiment, integer register file/bypass network 2308 and floating point register file/bypass network 2310 are also referred to herein as "register files 2308, 2310". In at least one embodiment, the AGUs 2312 and 2314, the fast ALUs 2316 and 2318, the slow ALUs 2320, the floating point ALUs 2322 and the floating point move unit 2324 are also referred to herein as " execution units 2312, 2314, 2316, 2318, 2320, 2322 and 2324". In at least one embodiment, execution block 2311 may include, but is not limited to, any number (including zeros) and type of register files, bypass networks, address generation units, and execution units (in any combination).
In at least one embodiment, the register networks 2308, 2310 may be disposed between the microinstruction schedulers 2302, 2304, 2306 and the execution units 2312, 2314, 2316, 2318, 2320, 2322 and 2324. In at least one embodiment, integer register file/branch network 2308 performs integer operations. In at least one embodiment, the floating point register file/branch network 2310 performs floating point operations. In at least one embodiment, each of the register networks 2308, 2310 can include, but is not limited to, a bypass network that can bypass or forward just completed results that have not been written to the register file to a new dependent object. In at least one embodiment, register networks 2308, 2310 can communicate data with each other. In at least one embodiment, integer register file/bypass network 2308 may include, but is not limited to, two separate register files, one register file for the lower-order 32-bit data and a second register file for the higher-order 32-bit data. In at least one embodiment, the floating point register file/branch network 2310 may include, but is not limited to, 128 bit wide entries, as floating point instructions typically have operands that are 64 to 128 bits in width.
In at least one embodiment, the execution units 2312, 2314, 2316, 2318, 2320, 2322, 2324 may execute instructions. In at least one embodiment, the register networks 2308, 2310 store integer and floating point data operand values that the microinstructions need to execute. In at least one embodiment, processor 2300 may include, but is not limited to, any number and combination of execution units 2312, 2314, 2316, 2318, 2320, 2322, 2324. In at least one embodiment, floating-point ALU 2322 and floating-point mobile unit 2324 may perform floating-point, MMX, SIMD, AVX, and SSE or other operations, including specialized machine learning instructions. In at least one embodiment, floating-point ALU 2322 may include, but is not limited to, a 64-bit by 64-bit floating-point divider to perform divide, square root, and remainder micro-operations. In at least one embodiment, instructions involving floating point values may be processed with floating point hardware. In at least one embodiment, the ALU operations may be passed to the fast ALUs 2316, 2318. In at least one embodiment, the fast ALUs 2316, 2318 may perform fast operations with an effective delay of half a clock cycle. In at least one embodiment, most complex integer operations enter the slow ALU 2320, as the slow ALU 2320 may include, but is not limited to, integer execution hardware for long latency type operations, such as multipliers, shifts, flag logic, and branch processing. In at least one embodiment, memory load/store operations may be performed by the AGUs 2312, 2314. In at least one embodiment, the fast ALU 2316, the fast ALU 2318, and the slow ALU 2320 may perform integer operations on 64-bit data operands. In at least one embodiment, the fast ALU 2316, the fast ALU 2318, and the slow ALU 2320 may be implemented to support various data bit sizes including sixteen, thirty-two, 128, 256, and so on. In at least one embodiment, floating-point ALU 2322 and floating-point move unit 2324 may be implemented to support a range of operands having bits of various widths, e.g., 128-bit wide packed data operands may be operated on in conjunction with SIMD and multimedia instructions.
In at least one embodiment, the microinstruction schedulers 2302, 2304, 2306 schedule dependent operations before the parent load completes execution. In at least one embodiment, processor 2300 may also include logic to handle memory misses because microinstructions may be speculatively scheduled and executed in processor 2300. In at least one embodiment, if a data load in the data cache misses, there may be dependent operations running in the pipeline that cause the scheduler to temporarily miss the correct data. In at least one embodiment, a replay mechanism tracks and re-executes instructions that use incorrect data. In at least one embodiment, dependent operations may need to be replayed and independent operations may be allowed to complete. In at least one embodiment, the scheduler and replay mechanism of at least one embodiment of the processor may also be designed to capture a sequence of instructions for a text string comparison operation.
In at least one embodiment, a "register" may refer to an on-board processor storage location that may be used as part of an instruction to identify operands. In at least one embodiment, the registers may be those that can be used from outside the processor (from the programmer's perspective). In at least one embodiment, the registers may not be limited to a particular type of circuitry. Rather, in at least one embodiment, the registers may store data, provide data, and perform the functions described herein. In at least one embodiment, the registers described herein may be implemented by circuitry within a processor using a variety of different techniques, such as dedicated physical registers, dynamically allocated physical registers using register renaming, a combination of dedicated and dynamically allocated physical registers, and so forth. In at least one embodiment, the integer register stores 32 bits of integer data. The register file of at least one embodiment also includes eight multimedia SIMD registers for encapsulating data.
Inference and/or training logic 115 is operative to perform inference and/or training operations associated with one or more embodiments. Details regarding inference and/or training logic 115 are provided herein in connection with FIG. 1A and/or FIG. 1B. In at least one embodiment, part or all of the inference and/or training logic 115 can be incorporated into the execution block 2311 as well as other memories or registers, shown or not shown. For example, in at least one embodiment, the training and/or reasoning techniques described herein may use one or more ALUs shown in execution block 2311. Further, the weight parameters may be stored in on-chip or off-chip memory and/or registers (shown or not shown) that configure the ALUs of execution block 2311 to execute one or more of the machine learning algorithms, neural network architectures, use cases, or training techniques described herein.
Fig. 24 illustrates a deep learning application processor 2400 in accordance with at least one embodiment. In at least one embodiment, the deep learning application processor 2400 uses instructions that, if executed by the deep learning application processor 2400, cause the deep learning application processor 2400 to perform some or all of the processes and techniques described throughout this disclosure. In at least one embodiment, deep learning application processor 2400 is an Application Specific Integrated Circuit (ASIC). In at least one embodiment, the application processor 2400 performs matrix multiplication operations or is "hardwired" into hardware as a result of executing one or more instructions or both. In at least one embodiment, deep learning application processor 2400 includes, but is not limited to, processing clusters 2410 (1) -2410 (12), inter-chip links ("ICL") 2420 (1) -2420 (12), inter-chip controllers ("ICC") 2430 (1) -2430 (2), second generation high bandwidth memory ("HBM 2") 2440 (1) -2440 (4), memory controllers ("Mem ctrl") 2442 (1) -2442 (4), high bandwidth memory physical layers ("HBM PHY") 2444 (1) -2444 (4), management controller central processing unit ("management controller CPU") 2450, serial peripheral interfaces, internal integrated circuits and general purpose input/output blocks ("SPI, I2C, GPIO") 2460, peripheral component interconnect Express controllers and direct memory access blocks ("PCIe controller and") 2470, and sixteen channel DMA peripheral component interconnect Express x 16 ") fast port (" PCI Express 16 ") 80.
In at least one embodiment, processing cluster 2410 may perform deep learning operations, including inference or prediction operations based on weight parameters calculated by one or more training techniques, including those described herein. In at least one embodiment, each processing cluster 2410 may include, but is not limited to, any number and type of processors. In at least one embodiment, deep learning application processor 2400 can include any number and type of processing clusters 2400. In at least one embodiment, the inter-chip link 2420 is bi-directional. In at least one embodiment, the inter-chip link 2420 and the inter-chip controller 2430 enable the plurality of deep learning application processors 2400 to exchange information, including activation information resulting from execution of one or more machine learning algorithms embodied in one or more neural networks. In at least one embodiment, the deep learning application processor 2400 can include any number (including zero) and type of ICLs 2420 and ICC 2430.
In at least one embodiment, HBM2 2440 provides a total of 32GB of memory. In at least one embodiment, HBM2 2440 (i) is associated with both memory controller 2442 (i) and HBM PHY 2444 (i), where "i" is any integer. In at least one embodiment, any number of HBM2 2440 may provide any type and amount of high bandwidth memory and may be associated with any number (including zero) and type of memory controllers 2442 and HBM PHYs 2444. In at least one embodiment, SPI, I2C, GPIO 3360, PCIe controller 2460, and DMA 2470 and/or PCIe2480 may be replaced with any number and type of blocks, implementing any number and type of communication standards in any technically feasible manner.
Inference and/or training logic 115 is operative to perform inference and/or training operations associated with one or more embodiments. Details regarding inference and/or training logic 115 are provided herein in connection with FIG. 1A and/or FIG. 1B. In at least one embodiment, the deep learning application processor is used to train a machine learning model (e.g., a neural network) to predict or infer information provided to the deep learning application processor 2400. In at least one embodiment, the deep learning application processor 2400 is used to infer or predict information based on a trained machine learning model (e.g., a neural network) that has been trained by another processor or system or by the deep learning application processor 2400. In at least one embodiment, processor 2400 can be configured to perform one or more neural network use cases described herein.
Fig. 25 is a block diagram of a neuromorphic processor 2500 according to at least one embodiment. In at least one embodiment, the neuromorphic processor 2500 may receive one or more inputs from a source external to the neuromorphic processor 2500. In at least one embodiment, these inputs may be transmitted to one or more neurons 2502 within neuromorphic processor 2500. In at least one embodiment, neuron 2502 and its components can be implemented using circuitry or logic comprising one or more Arithmetic Logic Units (ALUs). In at least one embodiment, the neuromorphic processor 2500 may include, but is not limited to, examples of thousands of neurons 2502, although any suitable number of neurons 2502 may be used. In at least one embodiment, each instance of neuron 2502 can include a neuron input 2504 and a neuron output 2506. In at least one embodiment, the neuron 2502 can generate an output that can be transmitted to an input of other instances of the neuron 2502. In at least one embodiment, the neuron input 2504 and the neuron output 2506 may be interconnected via a synapse 2508.
In at least one embodiment, the neurons 2502 and synapses 2508 may be interconnected such that the neuromorphic processor 2500 operates to process or analyze information received by the neuromorphic processor 2500. In at least one embodiment, the neuron 2502 can send an output pulse (or "trigger" or "peak") when an input received through the neuron input 2504 exceeds a threshold. In at least one embodiment, the neuron 2502 can sum or integrate signals received at a neuron input 2504. For example, in at least one embodiment, neuron 2502 can be implemented as a leaky integrate-and-trigger neuron, wherein if the sum (referred to as the "membrane potential") exceeds a threshold, neuron 2502 can use a transfer function, such as a sigmoid or threshold function, to produce an output (or "trigger"). In at least one embodiment, a leaky integrate-and-trigger neuron can sum signals received at the neuron input 2504 to a membrane potential, and can apply a program attenuation factor (or leak) to reduce the membrane potential. In at least one embodiment, a leaky integrate-trigger neuron may trigger if multiple input signals are received at the neuron input 2504 that are fast enough to exceed a threshold (i.e., before the membrane potential decays too low to trigger). In at least one embodiment, neuron 2502 can be implemented using circuitry or logic that receives an input, integrates the input to a membrane potential, and attenuates the membrane potential. In at least one embodiment, the inputs may be averaged, or any other suitable transfer function may be used. Further, in at least one embodiment, neuron 2502 may include, but is not limited to, a comparator circuit or logic that produces an output spike at neuron output 2506 when the result of applying a transfer function to neuron input 2504 exceeds a threshold. In at least one embodiment, once neuron 2502 triggers, it can ignore previously received input information by, for example, resetting the membrane potential to 0 or another suitable default value. In at least one embodiment, once the membrane potential is reset to 0, the neuron 2502 can resume normal operation after a suitable period of time (or repair period).
In at least one embodiment, the neurons 2502 can be interconnected by synapses 2508. In at least one embodiment, the synapse 2508 may operate to transmit a signal from an output of the first neuron 2502 to an input of the second neuron 2502. In at least one embodiment, the neuron 2502 can transmit information on more than one instance of synapse 2508. In at least one embodiment, one or more instances of a neuron output 2506 can be connected to an instance of a neuron input 2504 in the same neuron 2502 by an instance of a synapse 2508. In at least one embodiment, the instance of the neuron 2502 that produces an output to be transmitted on the instance of the synapse 2508 relative to that instance of the synapse 2508 may be referred to as a "pre-synaptic neuron". In at least one embodiment, an instance of a neuron 2502 receiving an input transmitted by an instance of a synapse 2508 may be referred to as a "post-synaptic neuron," with respect to the instance of the synapse 2508. In at least one embodiment, with respect to various instances of synapses 2508, a single instance of a neuron 2502 may be both a "pre-synaptic neuron" and a "post-synaptic neuron" in that an instance of the neuron 2502 may receive input from one or more instances of synapses 2508, and may also transmit output through one or more instances of synapses 2508.
In at least one embodiment, neurons 2502 can be organized into one or more layers. In at least one embodiment, each instance of a neuron 2502 can have a neuron output 2506, which neuron output 2506 can fan out to one or more neuron inputs 2504 through one or more synapses 2508. In at least one embodiment, a neuron output 2506 of a neuron 2502 in the first layer 2510 can be connected to a neuron input 2504 of a neuron 2502 in the second layer 2512. In at least one embodiment, layer 2510 can be referred to as a "feed-forward layer". In at least one embodiment, each instance of a neuron 2502 in an instance of a first layer 2510 can fan out to each instance of a neuron 2502 in a second layer 2512. In at least one embodiment, the first layer 2510 can be referred to as a "fully connected feed forward layer". In at least one embodiment, each instance of neurons 2502 in each instance of the second layer 2512 fans out to less than all instances of neurons 2502 in the third layer 2514. In at least one embodiment, the second layer 2512 can be referred to as a "sparsely connected feed forward layer". In at least one embodiment, the neurons 2502 in the second layer 2512 can fan out to neurons 2502 in a plurality of other layers, also including fan out to neurons 2502 in the second layer 2512. In at least one embodiment, the second layer 2512 can be referred to as a "cyclic layer". In at least one embodiment, the neuromorphic processor 2500 may include, but is not limited to, any suitable combination of a cyclic layer and a feedforward layer, including, but not limited to, a sparsely-connected feedforward layer and a fully-connected feedforward layer.
In at least one embodiment, the neuromorphic processor 2500 may include, but is not limited to, a reconfigurable interconnect architecture or dedicated hardwired interconnects to connect the synapses 2508 to the neurons 2502. In at least one embodiment, the neuromorphic processor 2500 may include, but is not limited to, circuitry or logic that allows synapses to be assigned to different neurons 2502 as needed, depending on the neural network topology and neuron fan-in/fan-out. For example, in at least one embodiment, the synapses 2508 may be connected to the neurons 2502 using an interconnect structure (such as a network on a chip) or by dedicated connections. In at least one embodiment, the synaptic interconnects and their components may be implemented using circuitry or logic.
FIG. 26 illustrates a processing system in accordance with at least one embodiment. In at least one embodiment, the system 2600 includes one or more processors 2602 and one or more graphics processors 2608, and may be a single-processor desktop system, a multi-processor workstation system, or a server system having a large number of processors 2602 or processor cores 2607. In at least one embodiment, system 2600 is a processing platform incorporated within a system-on-a-chip (SoC) integrated circuit for use in a mobile, handheld, or embedded device.
In at least one embodiment, system 2600 can comprise or be incorporated into a server-based gaming platform, a gaming console including gaming and media consoles, a mobile gaming console, a handheld gaming console, or an online gaming console. In at least one embodiment, system 2600 is a mobile phone, a smartphone, a tablet computing device, or a mobile internet device. In at least one embodiment, the processing system 2600 may also include a wearable device coupled with or integrated in a wearable device, such as a smart watch wearable device, a smart eyewear device, an augmented reality device, or a virtual reality device. In at least one embodiment, the processing system 2600 is a television or set-top box device having one or more processors 2602 and a graphical interface generated by one or more graphics processors 2608.
In at least one embodiment, the one or more processors 2602 each include one or more processor cores 2607 to process instructions that, when executed, perform operations for system and user software. In at least one embodiment, each of the one or more processor cores 2607 is configured to process a particular sequence of instructions 2609. In at least one embodiment, the instruction sequence 2609 may facilitate Complex Instruction Set Computing (CISC), reduced Instruction Set Computing (RISC), or computing via Very Long Instruction Words (VLIW). In at least one embodiment, the processor cores 2607 can each process a different sequence of instructions 2609, which can include instructions that facilitate emulation of other sequences of instructions. In at least one embodiment, processor core 2607 may also include other processing devices, such as a Digital Signal Processor (DSP).
In at least one embodiment, the processor 2602 includes a cache memory 2604. In at least one embodiment, the processor 2602 may have a single internal cache or more levels of internal cache. In at least one embodiment, cache memory is shared among various components of the processor 2602. In at least one embodiment, the processor 2602 also uses an external cache (e.g., a level three (L3) cache or a Level Last Cache (LLC)) (not shown) that may be shared among the processor cores 2607 using known cache coherency techniques. In at least one embodiment, a register file 2606 is additionally included in the processor 2602, which may include different types of registers (e.g., integer registers, floating point registers, status registers, and instruction pointer registers) for storing different types of data. In at least one embodiment, register file 2606 may include general purpose registers or other registers.
In at least one embodiment, the one or more processors 2602 are coupled with one or more interface buses 2610 to transmit communication signals, such as address, data, or control signals, between the processors 2602 and other components in the system 2600. In at least one embodiment, interface bus 2610 may be a processor bus, such as a version of a Direct Media Interface (DMI) bus. In at least one embodiment, the interface bus 2610 is not limited to a DMI bus and may include one or more peripheral component interconnect buses (e.g., PCI Express), a memory bus, or other types of interface buses. In at least one embodiment, the processor 2602 includes an integrated memory controller 2616 and a platform controller hub 2630. In at least one embodiment, the memory controller 2616 facilitates communication between memory devices and other components of the processing system 2600, while the Platform Controller Hub (PCH) 2630 provides a connection to an input/output (I/O) device through a local I/O bus.
In at least one embodiment, memory device 2620 may be a Dynamic Random Access Memory (DRAM) device, a Static Random Access Memory (SRAM) device, a flash memory device, a phase change memory device, or a device with suitable capabilities for use as processor memory. In at least one embodiment, the storage device 2620 may serve as system memory for the processing system 2600 to store data 2622 and instructions 2621 for use when the one or more processors 2602 execute an application or process. In at least one embodiment, the memory controller 2616 is also coupled with an optional external graphics processor 2612, which may communicate with one or more graphics processors 2608 in the processor 2602 to perform graphics and media operations. In at least one embodiment, a display device 2611 can be connected to the processor 2602. In at least one embodiment, the display device 2611 can include one or more of internal display devices, such as in a mobile electronic device or laptop device or an external display device connected through a display interface (e.g., a DisplayPort (DisplayPort), etc.). In at least one embodiment, display device 2611 may include a Head Mounted Display (HMD), such as a stereoscopic display device used in Virtual Reality (VR) applications or Augmented Reality (AR) applications.
In at least one embodiment, platform controller hub 2630 enables peripherals to be connected to storage 2620 and processor 2602 via a high speed I/O bus. In at least one embodiment, the I/O peripheral devices include, but are not limited to, an audio controller 2646, a network controller 2634, a firmware interface 2628, a wireless transceiver 2626, a touch sensor 2625, a data storage device 2624 (e.g., a hard drive, flash memory, etc.). In at least one embodiment, the data storage devices 2624 may be connected via a storage interface (e.g., SATA) or via a peripheral bus, such as a peripheral component interconnect bus (e.g., PCI, PCIe). In at least one embodiment, touch sensor 2625 may include a touch screen sensor, a pressure sensor, or a fingerprint sensor. In at least one embodiment, wireless transceiver 2626 may be a Wi-Fi transceiver, a bluetooth transceiver, or a mobile network transceiver, such as a 3G, 4G, or Long Term Evolution (LTE) transceiver. In at least one embodiment, firmware interface 2628 enables communication with system firmware and may be, for example, a Unified Extensible Firmware Interface (UEFI). In at least one embodiment, the network controller 2634 may enable network connectivity to a wired network. In at least one embodiment, a high performance network controller (not shown) is coupled to interface bus 2610. In at least one embodiment, the audio controller 2646 is a multi-channel high definition audio controller. In at least one embodiment, the processing system 2600 includes an optional legacy (legacy) I/O controller 2640 for coupling legacy (e.g., personal system 2 (PS/2)) devices to the system 2600. In at least one embodiment, the platform controller hub 2630 may also be connected to one or more Universal Serial Bus (USB) controllers 2642 that connect input devices, such as a keyboard and mouse 2643 combination, a camera 2644, or other USB input devices.
In at least one embodiment, instances of the memory controller 2616 and the platform controller hub 2630 may be integrated into a discrete external graphics processor, such as external graphics processor 2612. In at least one embodiment, the platform controller hub 2630 and/or the memory controller 2616 can be external to the one or more processors 2602. For example, in at least one embodiment, the system 2600 may include an external memory controller 2616 and a platform controller hub 2630, which may be configured as a memory controller hub and a peripheral controller hub in a system chipset in communication with the processor 2602.
Inference and/or training logic 115 is operative to perform inference and/or training operations associated with one or more embodiments. Details regarding inference and/or training logic 115 are provided herein in connection with FIG. 1A and/or FIG. 1B. In at least one embodiment, some or all of inference and/or training logic 115 may be incorporated into system 2600. For example, in at least one embodiment, the training and/or reasoning techniques described herein may use one or more ALUs that are embodied in a 3D pipeline. Further, in at least one embodiment, the inference and/or training operations described herein may be accomplished using logic other than that shown in FIG. 1A or FIG. 1B. In at least one embodiment, the weight parameters may be stored in on-chip or off-chip memory and/or registers (shown or not shown) that configure the ALUs of the graphics processor 2600 to perform one or more of the machine learning algorithms, neural network architectures, use cases, or training techniques described herein.
FIG. 27 is a block diagram of a processor 2700 having one or more processor cores 2702A-2702N, an integrated memory controller 2714 and an integrated graphics processor 2708 according to at least one embodiment. In at least one embodiment, processor 2700 may contain additional cores up to and including additional core 2702N, which is represented by the dashed box. In at least one embodiment, each processor core 2702A-2702N includes one or more internal cache units 2704A-2704N. In at least one embodiment, each processor core may also access one or more shared cache units 2706.
In at least one embodiment, internal cache units 2704A-2704N and shared cache unit 2706 represent a cache memory hierarchy within processor 2700. In at least one embodiment, the cache memory units 2704A-2704N may include at least one level of instruction and data cache within each processor core and one or more levels of cache in a shared mid-level cache, such as a level 2 (L2), level 3 (L3), level 4 (L4), or other level of cache, where the highest level of cache before external memory is categorized as LLC. In at least one embodiment, cache coherency logic maintains coherency between the various cache units 2706 and 2704A-2704N.
In at least one embodiment, the processor 2700 may also include a set of one or more bus controller units 2716 and a system agent core 2710. In at least one embodiment, the one or more bus controller units 2716 manage a set of peripheral buses, such as one or more PCI or PCIe buses. In at least one embodiment, the system agent core 2710 provides management functions for various processor components. In at least one embodiment, the system agent core 2710 includes one or more integrated memory controllers 2714 to manage access to various external memory devices (not shown).
In at least one embodiment, one or more of the processor cores 2702A-2702N include support for simultaneous multithreading. In at least one embodiment, the system proxy core 2710 includes components for coordinating and operating the cores 2702A-2702N during multi-threaded processing. In at least one embodiment, the system agent core 2710 may additionally include a Power Control Unit (PCU) that includes logic and components for adjusting one or more power states of the processor cores 2702A-2702N and the graphics processor 2708.
In at least one embodiment, processor 2700 also includes a graphics processor 2708 to perform graph processing operations. In at least one embodiment, the graphics processor 2708 is coupled to a shared cache unit 2706 and a system agent core 2710 that includes one or more integrated memory controllers 2714. In at least one embodiment, the system agent core 2710 also includes a display controller 2711 for driving the graphics processor output to one or more coupled displays. In at least one embodiment, display controller 2711 may also be a stand-alone module coupled to graphics processor 2708 via at least one interconnect, or may be integrated within graphics processor 2708.
In at least one embodiment, ring-based interconnect unit 2712 is used to couple the internal components of processor 2700. In at least one embodiment, alternative interconnect units may be used, such as point-to-point interconnects, switched interconnects, or other techniques. In at least one embodiment, graphics processor 2708 is coupled to ring interconnect 2712 via an I/O link 2713.
In at least one embodiment, I/O link 2713 represents at least one of a variety of I/O interconnects, including packaged I/O interconnects that facilitate communication between various processor components and high performance embedded memory module 2718 (e.g., an eDRAM module). In at least one embodiment, each of the processor cores 2702A-2702N and the graphics processor 2708 use the embedded memory module 2718 as a shared last level cache.
In at least one embodiment, the processor cores 2702A-2702N are homogeneous cores that execute a common instruction set architecture. In at least one embodiment, the processor cores 2702A-2702N are heterogeneous in Instruction Set Architecture (ISA), wherein one or more processor cores 2702A-2702N execute a common instruction set and one or more other processor cores 2702A-2702N execute a subset of the common instruction set or a different instruction set. In at least one embodiment, the processor cores 2702A-2702N are heterogeneous in terms of microarchitecture, with one or more cores having relatively higher power consumption coupled with one or more power cores having lower power consumption. In at least one embodiment, processor 2700 may be implemented on one or more chips or as an SoC integrated circuit.
Inference and/or training logic 115 is operative to perform inference and/or training operations associated with one or more embodiments. Details regarding inference and/or training logic 115 are provided herein in connection with FIG. 1A and/or FIG. 1B. In at least one embodiment, some or all of the inference and/or training logic 115 may be incorporated into the graphics processor 2708. For example, in at least one embodiment, the training and/or reasoning techniques described herein may use one or more ALUs embodied in the 3D pipeline, graphics core 2702, shared function logic, or other logic in fig. 27. Further, in at least one embodiment, the inference and/or training operations described herein may be performed using logic other than that shown in FIG. 1A or FIG. 1B. In at least one embodiment, the weight parameters may be stored in on-chip or off-chip memory and/or registers (shown or not shown) that configure the ALUs of processor 2700 to perform one or more of the machine learning algorithms, neural network architectures, use cases, or training techniques described herein.
Fig. 28 is a block diagram of a graphics processor 2800, which may be a discrete graphics processing unit or may be a graphics processor integrated with multiple processing cores. In at least one embodiment, graphics processor 2800 communicates with registers on graphics processor 2800 and commands placed in memory via a memory mapped I/O interface. In at least one embodiment, graphics processor 2800 includes a memory interface 2814 for accessing memory. In at least one embodiment, memory interface 2814 is an interface to local memory, one or more internal caches, one or more shared external caches, and/or to system memory.
In at least one embodiment, graphics processor 2800 also includes a display controller 2802 to drive display output data to a display device 2820. In at least one embodiment, display controller 2802 includes hardware for one or more overlay planes of display device 2820 as well as combinations of multi-layer video or user interface elements. In at least one embodiment, display device 2820 may be an internal or external display device. In at least one embodiment, display device 2820 is a head-mounted display device, such as a Virtual Reality (VR) display device or an Augmented Reality (AR) display device. In at least one embodiment, graphics processor 2800 includes a video codec engine 2806 to encode, decode, or transcode media into, from, or between one or more media encoding formats, including but not limited to Moving Picture Experts Group (MPEG) formats (e.g., MPEG-2), advanced Video Coding (AVC) formats (e.g., h.264/MPEG-4AVC, and Society of Motion Picture Television Engineers (SMPTE) 421M/VC-1), and joint image experts group (JPEG) formats (e.g., JPEG), and Motion JPEG (MJPEG) formats.
In at least one embodiment, graphics processor 2800 includes a block image transfer (BLIT) engine 2804 to perform two-dimensional (2D) rasterizer operations, including, for example, bit boundary block transfers. However, in at least one embodiment, 2D graphics operations are performed using one or more components of a Graphics Processing Engine (GPE) 2810. In at least one embodiment, GPE 2810 is a compute engine for performing graphics operations, including three-dimensional (3D) graphics operations and media operations.
In at least one embodiment, GPE 2810 includes a 3D pipeline 2812 for performing 3D operations, such as rendering three-dimensional images and scenes using processing functions that operate on 3D primitive shapes (e.g., rectangles, triangles, etc.). In at least one embodiment, 3D pipeline 2812 includes programmable and fixed functional elements that perform various tasks and/or generate threads of execution to 3D/media subsystem 2815. While 3D pipeline 2812 may be used to perform media operations, in at least one embodiment GPE 2810 also includes a media pipeline 2816 for performing media operations, such as video post-processing and image enhancement.
In at least one embodiment, the media pipeline 2816 includes fixed function or programmable logic units to perform one or more specialized media operations, such as video decoding acceleration, video de-interlacing, and video encoding acceleration, in place of or on behalf of the video codec engine 2806. In at least one embodiment, media pipeline 2816 also includes a thread generation unit to generate threads to execute on 3D/media subsystem 2815. In at least one embodiment, the spawned threads perform computations of media operations on one or more graphics execution units contained in 3D/media subsystem 2815.
In at least one embodiment, 3D/media subsystem 2815 includes logic for executing threads produced by 3D pipeline 2812 and media pipeline 2816. In at least one embodiment, 3D pipeline 2812 and media pipeline 2816 send thread execution requests to 3D/media subsystem 2815, which includes thread dispatch logic for arbitrating and dispatching various requests to available thread execution resources. In at least one embodiment, the execution resources include an array of graphics execution units for processing 3D and media threads. In at least one embodiment, 3D/media subsystem 2815 includes one or more internal caches for thread instructions and data. In at least one embodiment, the subsystem 2815 also includes shared memory, which includes registers and addressable memory to share data between threads and store output data.
Inference and/or training logic 115 is operative to perform inference and/or training operations associated with one or more embodiments. Details regarding inference and/or training logic 115 are provided herein in connection with FIG. 1A and/or FIG. 1B. In at least one embodiment, some or all of the inference and/or training logic 115 may be incorporated into the graphics processor 2800. For example, in at least one embodiment, the training and/or reasoning techniques described herein may use one or more ALUs included in the 3D pipeline 2812. Further, in at least one embodiment, the inference and/or training operations described herein may be performed using logic other than that shown in FIG. 1A or FIG. 1B. In at least one embodiment, the weight parameters may be stored in on-chip or off-chip memory and/or registers (shown or not shown) that configure the ALUs of the graphics processor 2800 to execute one or more machine learning algorithms, neural network architectures, use cases, or training techniques described herein.
Fig. 29 is a block diagram of a graphics processing engine 2910 of a graphics processor, according to at least one embodiment. In at least one embodiment, graphics Processing Engine (GPE) 2910 is a version of GPE 2810 shown in fig. 28. In at least one embodiment, media pipeline 2916 is optional and may not be explicitly included in GPE 2910. In at least one embodiment, a separate media and/or image processor is coupled to GPE 2910.
In at least one embodiment, GPE 2910 is coupled to or includes a command streamer 2903 that provides command streams to 3D pipeline 2912 and/or media pipeline 2916. In at least one embodiment, command streamer 2903 is coupled to memory, which can be system memory, or one or more of internal cache memory and shared cache memory. In at least one embodiment, command streamer 2903 receives commands from memory and sends commands to 3D pipeline 2912 and/or media pipeline 2916. In at least one embodiment, the commands are instructions, primitives, or micro-operations fetched from a ring buffer that stores the commands for the 3D pipeline 2912 and the media pipeline 2916. In at least one embodiment, the ring buffer may also include a batch command buffer that stores batches of multiple commands. In at least one embodiment, the commands for the 3D pipeline 2912 may also include references to data stored in memory, such as, but not limited to, vertex and geometry data for the 3D pipeline 2912 and/or image data and memory objects for the media pipeline 2916. In at least one embodiment, the 3D pipeline 2912 and the media pipeline 2916 process commands and data by performing operations or by dispatching one or more threads of execution to the graphics core array 2914. In at least one embodiment, the graphics core array 2914 includes one or more graphics core blocks (e.g., one or more graphics cores 2915A, one or more graphics cores 2915B), each block including one or more graphics cores. In at least one embodiment, each graphics core includes a set of graphics execution resources including general purpose and graphics specific execution logic to perform graphics and computational operations, as well as fixed function texture processing and/or machine learning and artificial intelligence acceleration logic, including inference and/or training logic 115 in fig. 1A and 1B.
In at least one embodiment, 3D pipeline 2912 includes fixed functionality and programmable logic for processing one or more shader programs, such as a vertex shader, a geometry shader, a pixel shader, a fragment shader, a compute shader, or other shader programs, by processing instructions and dispatching execution threads to graphics core array 2914. In at least one embodiment, the graphics core array 2914 provides a unified execution resource block, which is used to process shader programs. In at least one embodiment, multipurpose execution logic (e.g., execution units) within graphics cores 2915A-2915B of the graphics core array 2914 includes support for various 3D API shader languages, and may execute multiple simultaneous execution threads associated with multiple shaders.
In at least one embodiment, the graphics core array 2914 also includes execution logic to perform media functions, such as video and/or image processing. In at least one embodiment, the execution unit includes, in addition to graphics processing operations, general purpose logic that is programmable to perform parallel general purpose computing operations.
In at least one embodiment, the output data generated by threads executing on the graphics core array 2914 may output data to memory in a Unified Return Buffer (URB) 2918. In at least one embodiment, URB 2918 may store data for multiple threads. In at least one embodiment, the URBs 2918 may be used to send data between different threads executing on the graphics core array 2914. In at least one embodiment, URB 2918 may also be used for synchronization between threads on graphics core array 2914 and fixed function logic within shared function logic 2920.
In at least one embodiment, the graphics core array 2914 is scalable, such that the graphics core array 2914 includes a variable number of graphics cores, each with a variable number of execution units based on the target power and performance levels of the GPEs 2910. In at least one embodiment, the execution resources are dynamically scalable, such that the execution resources may be enabled or disabled as needed.
In at least one embodiment, the graphics core array 2914 is coupled to shared functional logic 2920, which includes a plurality of resources shared among the graphics cores in the graphics core array 2914. In at least one embodiment, the shared functions performed by shared function logic 2920 are embodied in hardware logic units that provide specialized, supplemental functions to the graphics core array 2914. In at least one embodiment, shared function logic 2920 includes, but is not limited to, a sampler unit 2921, a math unit 2922, and inter-thread communication (ITC) logic 2923. In at least one embodiment, one or more caches 2925 are included in or coupled to shared function logic 2920.
In at least one embodiment, shared functionality is used if the need for dedicated functionality is insufficient to be included in the graphics core array 2914. In at least one embodiment, a single instance of the dedicated function is used in shared function logic 2920 and is shared among other execution resources within graphics core array 2914. In at least one embodiment, the particular shared function may be included within shared function logic 2920 within graphics core array 2914, within shared function logic 2920 that is widely used by graphics core array 2914. In at least one embodiment, shared functionality logic 2920 within graphics core array 2914 may include some or all of the logic within shared functionality logic 2920. In at least one embodiment, all logic elements within shared functional logic 2920 may be replicated within shared functional logic 2926 of graphics core array 2914. In at least one embodiment, shared functionality logic 2920 is excluded to support shared functionality logic 2926 within graphics core array 2914.
Inference and/or training logic 115 is operative to perform inference and/or training operations associated with one or more embodiments. Details regarding inference and/or training logic 115 are provided herein in connection with FIG. 1A and/or FIG. 1B. In at least one embodiment, some or all of the inference and/or training logic 115 may be incorporated into the graphics processor 2910. For example, in at least one embodiment, the training and/or reasoning techniques described herein may use one or more ALUs that are embodied in 3D pipeline 2912, graphics core 2915, shared function logic 2926, shared function logic 2920, or other logic in fig. 29. Further, in at least one embodiment, the inference and/or training operations described herein may be accomplished using logic other than that shown in FIG. 1A or FIG. 1B. In at least one embodiment, the weight parameters may be stored in on-chip or off-chip memory and/or registers (shown or not shown) that configure the ALUs of the graphics processor 2910 to perform one or more of the machine learning algorithms, neural network architectures, use cases, or training techniques described herein.
Fig. 30 is a block diagram of hardware logic of a graphics processor core 3000 according to at least one embodiment described herein. In at least one embodiment, graphics processor core 3000 is included within a graphics core array. In at least one embodiment, graphics processor core 3000 (sometimes referred to as a core slice) may be one or more graphics cores within a modular graphics processor. In at least one embodiment, graphics processor core 3000 is an example of one graphics core slice, and the graphics processor described herein may include multiple graphics core slices based on target power and performance context. In at least one embodiment, each graphics processor core 3000 may include a fixed function block 3030, also referred to as a sub-slice, that includes modular blocks of general purpose and fixed function logic coupled with a plurality of sub-cores 3001A-3001F.
In at least one embodiment, fixed function block 3030 includes a geometry and fixed function pipeline 3036, for example, in lower performance and/or lower power graphics processor implementations, the geometry and fixed function pipeline 3036 may be shared by all of the sub-cores in graphics processor 3000. In at least one embodiment, the geometry and fixed function pipeline 3036 includes a 3D fixed function pipeline, a video front end unit, a thread generator and thread dispatcher, and a unified return buffer manager that manages a unified return buffer.
In at least one embodiment of the fixing, the fixed functional block 3030 also includes a graphics SoC interface 3037, a graphics microcontroller 3038, and a media pipeline 3039. In at least one embodiment, graphics SoC interface 3037 provides an interface between graphics processor core 3000 and other processor cores in an integrated circuit system on a chip. In at least one embodiment, graphics microcontroller 3038 is a programmable sub-processor that may be configured to manage various functions of graphics processor 3000, including thread dispatch, scheduling, and preemption. In at least one embodiment, media pipeline 3039 includes logic to facilitate decoding, encoding, pre-processing, and/or post-processing of multimedia data, including image and video data. In at least one embodiment, the media pipeline 3039 implements media operations via requests to computational or sampling logic within the sub-cores 3001-3001F.
In at least one embodiment, soC interface 3037 enables graphics processor core 3000 to communicate with general-purpose application processor cores (e.g., CPUs) and/or other components within the SoC, including memory hierarchy elements such as shared last level cache, system RAM, and/or embedded on-chip or packaged DRAM. In at least one embodiment, soC interface 3037 may also enable communication with fixed-function devices (e.g., camera imaging pipelines) within the SoC and enable use and/or implementation of global memory atoms that may be shared between graphics processor core 3000 and CPUs internal to the SoC. In at least one embodiment, graphics SoC interface 3037 may also implement power management control for graphics processor core 3000 and enable interfaces between the clock domain of graphics processor core 3000 and other clock domains within the SoC. In at least one embodiment, soC interface 3037 enables receiving command buffers from the command streamer and global thread dispatcher that are configured to provide commands and instructions to each of one or more graphics cores within the graphics processor. In at least one embodiment, commands and instructions may be dispatched to the media pipeline 3039 when media operations are to be performed, or may be distributed to geometry and fixed function pipelines (e.g., geometry and fixed function pipeline 3036, and/or geometry and fixed function pipeline 3014) when graphics processing operations are to be performed.
In at least one embodiment, graphics microcontroller 3038 may be configured to perform various scheduling and management tasks for graphics processor core 3000. In at least one embodiment, the graphics microcontroller 3038 may perform graphics and/or compute workload scheduling on various graphics parallel engines within the Execution Unit (EU) arrays 3002A-3002F, 3004A-3004F in the sub-cores 3001A-3001F. In at least one embodiment, host software executing on a CPU core of a SoC including graphics processor core 3000 may submit a workload of one of the multiple graphics processor paths that invokes a scheduling operation on the appropriate graphics engine. In at least one embodiment, the scheduling operation includes determining which workload to run next, submitting the workload to a command streamer, preempting an existing workload running on the engine, monitoring the progress of the workload, and notifying the host software when the workload completes. In at least one embodiment, graphics microcontroller 3038 may also facilitate a low-power or idle state for graphics processor core 3000, providing graphics processor core 3000 with the ability to save and restore registers across low-power state transitions within graphics processor core 3000 independent of the operating system and/or graphics driver software on the system.
In at least one embodiment, the graphics processor core 3000 may have up to N more or less modular sub-cores than the sub-cores 3001A-3001F shown. For each set of N sub-cores, in at least one embodiment, graphics processor core 3000 may also include shared function logic 3010, shared and/or cache memory 3012, geometry/fixed function pipeline 3014, and additional fixed function logic 3016 to accelerate various graphics and computing processing operations. In at least one embodiment, the shared function logic 3010 may include logic units (e.g., samplers, math, and/or inter-thread communication logic) that may be shared by each of the N sub-cores within the graphics processor core 3000. In at least one embodiment, the shared and/or cache memory 3012 may be the last level cache of the N sub-cores 3001A-3001F within the graphics processor core 3000, and may also be used as a shared memory accessible by multiple sub-cores. In at least one embodiment, a geometric/fixed function pipeline 3014 may be included in place of the geometric/fixed function pipeline 3036 within the fixed function block 3030, and may include similar logic units.
In at least one embodiment, the graphics processor core 3000 includes additional fixed function logic 3016, which may include various fixed function acceleration logic for use by the graphics processor core 3000. In at least one embodiment, the additional fixed function logic 3016 includes additional geometric pipelines for use in location-only shading. In position-only shading, there are at least two geometric pipelines, while in the full geometric pipelines and culling pipelines within the geometric and fixed function pipelines 3014, 3036, they are additional geometric pipelines that may be included in additional fixed function logic 3016. In at least one embodiment, the culling pipeline is a trimmed version of the full geometry pipeline. In at least one embodiment, the full pipeline and the culling pipeline may execute different instances of the application, each instance having a separate environment. In at least one embodiment, the location-only shading may hide long culling runs of discarded triangles so that shading may be completed earlier in some cases. For example, in at least one embodiment, the culling pipeline logic in the additional fixed-function logic 3016 may execute a position shader in parallel with the main application and typically generate critical results faster than a full pipeline because the culling pipeline fetches and masks the position attributes of vertices without performing rasterization and rendering pixels to a frame buffer. In at least one embodiment, the culling pipeline may use the generated critical results to calculate visibility information for all triangles regardless of whether the triangles were culled. In at least one embodiment, the full pipeline (which in this case may be referred to as a replay pipeline) may consume visibility information to skip culled triangles to mask only the visible triangles that are ultimately passed to the rasterization stage.
In at least one embodiment, the additional fixed function logic 3016 may also include machine learning acceleration logic, such as fixed function matrix multiplication logic, for implementing optimizations including for machine learning training or reasoning.
In at least one embodiment, a set of execution resources is included within each graphics sub-core 3001A-3001F that may be used to perform graphics, media, and compute operations in response to requests by a graphics pipeline, media pipeline, or shader program. In at least one embodiment, the graphics sub-cores 3001A-3001F include a plurality of EU arrays 3002A-3002F, 3004A-3004F, thread dispatch and inter-thread communication (TD/IC) logic 3003A-3003F,3D (e.g., texture) samplers 3005A-3005F, media samplers 3006A-3006F, shader processors 3007A-3007F, and Shared Local Memories (SLMs) 3008A-3008F. In at least one embodiment, the EU arrays 3002A-3002F, 3004A-3004F each include a plurality of execution units, which are general purpose graphics processing units capable of servicing graphics, media, or computational operations, performing floating point and integer/fixed point logical operations, including graphics, media, or computational shader programs. In at least one embodiment, the TD/IC logic 3003A-3003F performs local thread dispatch and thread control operations for execution units within the sub-cores and facilitates communication between threads executing on the execution units of the sub-cores. In at least one embodiment, 3D samplers 3005A-3005F may read data related to textures or other 3D graphics into memory. In at least one embodiment, the 3D sampler may read texture data differently based on the configured sampling state and texture format associated with a given texture. In at least one embodiment, media samplers 3006A-3006F may perform similar read operations based on the type and format associated with the media data. In at least one embodiment, each graphics sub-core 3001A-3001F may alternatively include unified 3D and media samplers. In at least one embodiment, threads executing on execution units within each sub-core 3001A-3001F may utilize shared local memory 3008A-3008F within each sub-core to enable threads executing within a thread group to execute using a common pool of on-chip memory.
Inference and/or training logic 115 is operative to perform inference and/or training operations associated with one or more embodiments. Details regarding inference and/or training logic 115 are provided herein in connection with FIG. 1A and/or FIG. 1B. In at least one embodiment, some or all of the inference and/or training logic 115 may be incorporated into the graphics processor core 3000. For example, in at least one embodiment, the training and/or reasoning techniques described herein may use one or more ALUs embodied in a 3D pipeline, a graphics microcontroller 3038, geometric and fixed function pipelines 3014 and 3036, or other logic in fig. 30. Further, in at least one embodiment, the inference and/or training operations described herein may be performed using logic other than that shown in FIG. 1A or FIG. 1B. In at least one embodiment, the weight parameters may be stored in on-chip or off-chip memory and/or registers (shown or not shown) that configure the ALUs of graphics processor core 3000 to perform one or more of the machine learning algorithms, neural network architectures, use cases, or training techniques described herein.
31A-31B illustrate thread execution logic 3100 comprising an array of processing elements of a graphics processor core, in accordance with at least one embodiment. FIG. 31A illustrates at least one embodiment in which thread execution logic 3100 is used. FIG. 31B illustrates exemplary internal details of a graphics execution unit 3108 according to at least one embodiment.
As shown in fig. 31A, in at least one embodiment, thread execution logic 3100 includes a shader processor 3102, a thread dispatcher 3104, an instruction cache 3106, a scalable execution unit array including a plurality of execution units 3107A-3107N and 3108A-3108N, a sampler 3110, a data cache 3112, and a data port 3114. In at least one embodiment, the scalable array of execution units may be dynamically scaled by enabling or disabling one or more execution units (e.g., any of execution units 3108A-N or 3107A-N), for example, based on the computational requirements of the workload. In at least one embodiment, scalable execution units are interconnected by an interconnect fabric that links to each execution unit. In at least one embodiment, the thread execution logic 3100 includes one or more connections to memory (such as system memory or cache memory) through one or more of an instruction cache 3106, a data port 3114, a sampler 3110, and an execution unit 3107 or 3108. In at least one embodiment, each execution unit (e.g., 3107A) is an independently programmable general purpose computing unit capable of executing multiple simultaneous hardware threads while processing multiple data elements in parallel for each thread. In at least one embodiment, the array of execution units 3107 and/or 3108 may be scalable to include any number of individual execution units.
In at least one embodiment, execution units 3107 and/or 3108 are primarily used to execute shader programs. In at least one embodiment, the shader processor 3102 may process various shader programs and dispatch threads of execution associated with the shader programs via a thread dispatcher 3104. In at least one embodiment, the thread dispatcher 3104 includes logic to arbitrate thread initialization celebrations from the graphics and media pipelines and instantiate the requested thread on one or more of the execution units 3107 and/or 3108. For example, in at least one embodiment, a geometry pipeline may dispatch a vertex, tessellation, or geometry shader to thread execution logic for processing. In at least one embodiment, thread dispatcher 3104 may also process runtime thread generation requests from executing shader programs.
In at least one embodiment, execution units 3107 and/or 3108 support an instruction set that includes native support for many standard 3D graphics shader instructions, such that shader programs in graphics libraries (e.g., direct 3D and OpenGL) require minimal translation to execute. In at least one embodiment, the execution units support vertex and geometry processing (e.g., vertex programs, geometry programs, and/or vertex shaders), pixel processing (e.g., pixel shaders, fragment shaders), and general purpose processing (e.g., compute and media shaders). In at least one embodiment, each execution unit 3107 and/or 3108 includes one or more Arithmetic Logic Units (ALUs) capable of executing multiple-issue Single Instruction Multiple Data (SIMD), and multi-threading enables an efficient execution environment despite higher latency memory accesses. In at least one embodiment, each hardware thread within each execution unit has a dedicated high bandwidth register file and associated independent thread state. In at least one embodiment, execution is multiple issues per clock to the pipeline, which is capable of integer, single and double precision floating point operations, SIMD branch functions, logical operations, a priori operations, and other operations. In at least one embodiment, while waiting for data from one of the memory or shared functions, dependency logic within execution units 3107 and/or 3108 puts the waiting thread to sleep until the requested data is returned. In at least one embodiment, while the waiting thread is sleeping, the hardware resources may be dedicated to processing other threads. For example, in at least one embodiment, during a delay associated with vertex shader operations, the execution unit may perform operations on a pixel shader, a fragment shader, or another type of shader program (including a different vertex shader).
In at least one embodiment, each execution unit of execution units 3107 and/or 3108 operates on an array of data elements. In at least one embodiment, the plurality of data elements are "execution size" or number of lanes of instructions. In at least one embodiment, an execution lane is a logical unit for execution of data element access, masking, and flow control within an instruction. In at least one embodiment, the multiple channels may be independent of multiple physical Arithmetic Logic Units (ALUs) or Floating Point Units (FPUs) for a particular graphics processor. In at least one embodiment, execution units 3107 and/or 3108 support both integer and floating point data types.
In at least one embodiment, the execution unit instruction set includes SIMD instructions. In at least one embodiment, various data elements may be stored in registers as packed data types, and execution units will process the various elements based on the data sizes of those elements. For example, in at least one embodiment, when operating on a 256-bit wide vector, 256 bits of the vector are stored in a register, and the execution unit operates on the vector as four separate 64-bit packed data elements (quad-word (QW) sized data elements), eight separate 32-bit packed data elements (double-word (DW) sized data elements), sixteen separate 16-bit packed data elements (word (W) sized data elements), or thirty-two separate 8-bit data elements (byte (B) sized data elements). However, in at least one embodiment, different vector widths and register sizes are possible.
In at least one embodiment, one or more execution units may be combined into a fused execution unit 3109A-3109N with thread control logic (3111A-3111N) executing for the fused EU, e.g., fusing execution unit 3107A with execution unit 3108A into fused execution unit 3109A. In at least one embodiment, multiple EUs can be combined into one EU group. In at least one embodiment, the number of EUs in the fused EU group may be configured to execute separate SIMD hardware threads, and the number of EUs in the fused EU group may vary depending upon the various embodiments. In at least one embodiment, each EU can execute a variety of SIMD widths, including but not limited to SIMD8, SIMD16, and SIMD32. In at least one embodiment, each fused graphics execution unit 3109A-3109N includes at least two execution units. For example, in at least one embodiment, the fused execution unit 3109A includes a first EU 3107A, a second EU 3108A, and thread control logic 3111A common to the first EU 3107A and the second EU 3108A. In at least one embodiment, the thread control logic 3111A controls the threads executing on the fused graphics execution unit 3109A, allowing each EU within the fused execution units 3109A-3109N to execute using a common instruction pointer register.
In at least one embodiment, one or more internal instruction caches (e.g., 3106) are included in thread execution logic 3100 to cache thread instructions for execution units. In at least one embodiment, one or more data caches (e.g., 3112) are included to cache thread data during thread execution. In at least one embodiment, a sampler 3110 is included to provide texture samples for 3D operations and media samples for media operations. In at least one embodiment, sampler 3110 includes specialized texture or media sampling functionality to process texture or media data in a sampling process before providing the sampled data to an execution unit.
During execution, in at least one embodiment, the graphics and media pipeline sends thread initiation requests to thread execution logic 3100 through thread spawn and dispatch logic. In at least one embodiment, once a set of geometric objects has been processed and rasterized into pixel data, pixel processor logic (e.g., pixel shader logic, fragment shader logic, etc.) within shader processor 3102 is invoked to further compute output information and cause writing of the results to an output surface (e.g., color buffer, depth buffer, stencil buffer, etc.). In at least one embodiment, a pixel shader or fragment shader computes values for various vertex attributes to be interpolated on the rasterized object. In at least one embodiment, pixel processor logic within shader processor 3102 then executes pixel or fragment shader programs provided by an Application Program Interface (API). In at least one embodiment, to execute a shader program, shader processor 3102 dispatches threads to execution units (e.g., 3108A) via thread dispatcher 3104. In at least one embodiment, shader processor 3102 uses texture sampling logic in sampler 3110 to access texture data in a texture map stored in memory. In at least one embodiment, arithmetic operations on the texture data and the input geometry data compute pixel color data for each geometric fragment, or discard one or more pixels for further processing.
In at least one embodiment, data port 3114 provides a memory access mechanism for thread execution logic 3100 to output processed data to memory for further processing on a graphics processor output pipeline. In at least one embodiment, data port 3114 includes or is coupled to one or more cache memories (e.g., data cache 3112) to cache data for memory access via the data port.
As shown in fig. 31B, in at least one embodiment, the graphics execution unit 3108 may include an instruction fetch unit 3137, a general register file array (GRF) 3124, an architectural register file Array (ARF) 3126, a thread arbiter 3122, a send unit 3130, a branch unit 3132, a set of SIMD Floating Point Units (FPUs) 3134, and, in at least one embodiment, a set of dedicated SIMD integer ALUs 3135. The GRFs 3124 and ARFs 3126 include a set of general purpose register files and architectural register files associated with each simultaneous hardware thread that may be active in the graphics execution unit 3108. In at least one embodiment, per-thread architectural state is maintained in the ARF 3126, while data used during thread execution is stored in the GRF 3124. In at least one embodiment, the execution state of each thread, including the instruction pointer of each thread, may be stored in thread-specific registers in ARF 3126.
In at least one embodiment, the graphics execution unit 3108 has an architecture that is a combination of Simultaneous Multithreading (SMT) and fine-grained Interleaved Multithreading (IMT). In at least one embodiment, the architecture has a modular configuration that can be fine-tuned at design time based on a target number of simultaneous threads and a number of registers per execution unit, where execution unit resources are allocated on logic for executing multiple simultaneous threads.
In at least one embodiment, graphics execution unit 3108 may collectively issue multiple instructions, each of which may be a different instruction. In at least one embodiment, the thread arbiter 3122 of a graphics execution unit thread 3108 may dispatch an instruction to one of the send unit 3130, the branch unit 3132, or the SIMD FPU 3134 for execution. In at least one embodiment, each execution thread may access 128 general purpose registers in the GRF 3124, where each register may store 32 bytes, which may be accessed as a SIMD 8 element vector of 32-bit data elements. In at least one embodiment, each execution unit thread may access 4KB in GRF 3124, although embodiments are not so limited and in other embodiments more or less register resources may be provided. In at least one embodiment, up to seven threads may be executed simultaneously, although the number of threads per execution unit may also vary depending on the embodiment. In at least one embodiment, where seven threads may access 4KB, the GRF 3124 may store a total of 28KB. In at least one embodiment, a flexible addressing scheme may allow registers to be addressed together to effectively create wider registers or rectangular block data structures representing strides.
In at least one embodiment, memory operations, sampler operations, and other longer latency system communications are scheduled via a "send" instruction executed by the messaging transmit unit 3130. In at least one embodiment, dispatching a branch instruction to branch unit 3132 facilitates SIMD divergence and eventual convergence.
In at least one embodiment, graphics execution unit 3108 includes one or more SIMD floating-point units (FPUs) 3134 to perform floating-point operations. In at least one embodiment, the one or more FPUs 3134 also support integer computations. In at least one embodiment, one or more FPUs 3134 may perform up to M32-bit floating point (or integer) operations in SIMD, or up to 2M 16-bit integer or 16-bit floating point operations in SIMD. In at least one embodiment, at least one FPU provides extended mathematical capabilities to support high throughput a priori mathematical functions and double precision 64-bit floating points. In at least one embodiment, there is also a set of 8-bit integer SIMD ALU 3135, and may be specifically optimized to perform operations related to machine learning calculations.
In at least one embodiment, an array of multiple instances of graphics execution unit 3108 may be instantiated in a graphics sub-core packet (e.g., a sub-slice). In at least one embodiment, execution unit 3108 may execute instructions across multiple execution lanes. In at least one embodiment, each thread executing on graphics execution unit 3108 executes on a different channel.
Inference and/or training logic 115 is operative to perform inference and/or training operations associated with one or more embodiments. Details regarding inference and/or training logic 115 are provided below in connection with FIG. 1A and/or FIG. 1B. In at least one embodiment, some or all of the inference and/or training logic 115 may be incorporated into the thread execution logic 3100. Further, in at least one embodiment, logic other than that shown in FIG. 1A or FIG. 1B may be used to accomplish the inference and/or training operations described herein. In at least one embodiment, the weight parameters may be stored in on-chip or off-chip memory and/or registers (shown or not shown) that configure the ALUs of the thread execution logic 3100 to perform one or more machine learning algorithms, neural network architectures, use cases, or training techniques described herein.
FIG. 32 illustrates a parallel processing unit ("PPU") 3200 according to at least one embodiment. In at least one embodiment, PPU 3200 is configured with machine-readable code that, if executed by PPU 3200, causes PPU 3200 to perform some or all of the processes and techniques described throughout this disclosure. In at least one embodiment, PPU 3200 is a multithreaded processor implemented on one or more integrated circuit devices and utilizes multithreading as a latency hiding technique designed to process computer readable instructions (also referred to as machine readable instructions or simple instructions) executed in parallel on multiple threads. In at least one embodiment, a thread refers to a thread of execution and is an instance of a set of instructions configured to be executed by PPU 3200. In at least one embodiment, PPU 3200 is a graphics processing unit ("GPU") configured to implement a graphics rendering pipeline for processing three-dimensional ("3D") graphics data in order to generate two-dimensional ("2D") image data for display on a display device, such as a liquid crystal display ("LCD") device. In at least one embodiment, PPU 3200 is used to perform computations, such as linear algebraic operations and machine learning operations. Fig. 32 shows an example parallel processor for illustrative purposes only, and should be construed as a non-limiting example of a processor architecture contemplated within the scope of the present disclosure, and any suitable processor may be employed in addition to and/or in place of it.
In at least one embodiment, one or more PPUs 3200 are configured to accelerate high performance computing ("HPC"), data centers, and machine learning applications. In at least one embodiment, PPU 3200 is configured to accelerate deep learning systems and applications, including the following non-limiting examples: the system comprises an automatic driving automobile platform, deep learning, high-precision voice, images, a text recognition system, intelligent video analysis, molecular simulation, drug discovery, disease diagnosis, weather forecast, big data analysis, astronomy, molecular dynamics simulation, financial modeling, robotics, factory automation, real-time language translation, online search optimization, personalized user recommendation and the like.
In at least one embodiment, PPU 3200 includes, but is not limited to, an input/output ("I/O") unit 3206, a front end unit 3210, a scheduler unit 3212, a work allocation unit 3214, a hub 3216, a crossbar ("Xbar") 3220, one or more general purpose processing clusters ("GPCs") 3218, and one or more partition units ("memory partition units") 3222. In at least one embodiment, PPUs 3200 are connected to host processors or other PPUs 3200 through one or more high-speed GPU interconnects ("GPU interconnects") 3208. In at least one embodiment, PPU 3200 is connected to a host processor or other peripheral device through a system bus 3202. In an embodiment, PPU 3200 is connected to local memory that includes one or more memory devices ("memory") 3204. In at least one embodiment, memory device 3204 includes, but is not limited to, one or more dynamic random access memory ("DRAM") devices. In at least one embodiment, one or more DRAM devices are configured and/or configurable as a high bandwidth memory ("HBM") subsystem, and multiple DRAM dies are stacked within each device.
In at least one embodiment, high speed GPU interconnect 3208 may refer to a line-based, multi-channel communication link that a system uses for scaling, and includes one or more PPUs 3200 ("CPUs") in conjunction with one or more central processing units, supporting cache coherence between PPUs 3200 and the CPUs, as well as CPU hosting. In at least one embodiment, high speed GPU interconnect 3208 transmits data and/or commands through hub 3216 to other units of PPU 3200, such as one or more copy engines, video encoders, video decoders, power management units, and/or other components that may not be explicitly shown in fig. 32.
In at least one embodiment, the I/O unit 3206 is configured to send and receive communications (e.g., commands, data) from a host processor (not shown in fig. 32) over the system bus 3202. In at least one embodiment, the I/O unit 3206 communicates with the host processor directly over the system bus 3202 or through one or more intermediate devices (e.g., a memory bridge). In at least one embodiment, I/O unit 3206 may communicate with one or more other processors (e.g., one or more PPUs 3200) via system bus 3202. In at least one embodiment, I/O unit 3206 implements a peripheral component interconnect Express ("PCIe") interface for communicating over a PCIe bus. In at least one embodiment, the I/O unit 3206 implements an interface for communicating with external devices.
In at least one embodiment, the I/O unit 3206 decodes packets received via the system bus 3202. In at least one embodiment, at least some packets represent commands configured to cause PPU 3200 to perform various operations. In at least one embodiment, I/O unit 3206 sends decoded commands to various other units of PPU 3200 as specified by the commands. In at least one embodiment, commands are sent to front end unit 3210 and/or to hub 3216 or other units of PPU 3200, such as one or more replication engines, video encoders, video decoders, power management units, and the like (not explicitly shown in fig. 32). In at least one embodiment, I/O unit 3206 is configured to route communications between various logical units of PPU 3200.
In at least one embodiment, a program executed by a host processor encodes a command stream in a buffer that provides a workload to PPU 3200 for processing. In at least one embodiment, the workload includes instructions and data to be processed by those instructions. In at least one embodiment, the buffers are regions in memory accessible (e.g., read/write) by both the host processor and the PPU 3200 — the host interface unit may be configured to access buffers in system memory connected to the system bus 3202 via memory requests transmitted by the system bus 3202 via the I/O unit 3206. In at least one embodiment, host processor writes command streams to buffers and then sends pointers to the PPU 3200 indicating the start of the command streams, such that the front end unit 3210 receives pointers to and manages one or more command streams, reads commands from the command streams and forwards the commands to various units of the PPU 3200.
In at least one embodiment, the front end unit 3210 is coupled to a scheduler unit 3212, the scheduler unit 3212 configuring the various GPCs 3218 to process tasks defined by one or more command streams. In at least one embodiment, the scheduler unit 3212 is configured to track status information related to the various tasks managed by the scheduler unit 3212, where the status information may indicate which GPCs 3218 the task is assigned to, whether the task is active or inactive, priorities associated with the task, and so forth. In at least one embodiment, the scheduler unit 3212 manages a plurality of tasks executing on one or more GPCs 3218.
In at least one embodiment, the scheduler unit 3212 is coupled to a work allocation unit 3214, the work allocation unit 3214 configured to dispatch tasks for execution on the GPCs 3218. In at least one embodiment, the work allocation unit 3214 tracks the plurality of scheduled tasks received from the scheduler unit 3212 and the work allocation unit 3214 manages a pool of pending tasks and a pool of active tasks for each GPC 3218. In at least one embodiment, the pool of pending tasks includes a plurality of time slots (e.g., 32 time slots) containing tasks assigned to be processed by a particular GPC 3218; the active task pool may include multiple slots (e.g., 4 slots) for tasks actively processed by the GPCs 3218, such that as one of the GPCs 3218 completes execution of a task, the task will be evicted from the active task pool of the GPC 3218 and another task is selected from the pending task pool and scheduled to execute on the GPC 3218. In at least one embodiment, if the active task is idle on the GPCs 3218, for example while waiting for a data dependency to resolve, the active task is evicted from the GPCs 3218 and returned to the pending task pool while another task in the pending task pool is selected and scheduled to execute on the GPCs 3218.
In at least one embodiment, the work distribution unit 3214 communicates with one or more GPCs 3218 via XBar 3220. In at least one embodiment, XBar 3220 is an interconnection network that couples many of the units of PPU 3200 to other units of PPU 3200, and may be configured to couple work distribution units 3214 to particular GPCs 3218. In at least one embodiment, other units of one or more PPUs 3200 may also be connected to XBar 3220 through hub 3216.
In at least one embodiment, tasks are managed by a scheduler unit 3212 and allocated to one of the GPCs 3218 by a work allocation unit 3214. In at least one embodiment, GPCs 3218 are configured to process tasks and generate results. In at least one embodiment, results may be consumed by other tasks in the GPCs 3218, routed to different GPCs 3218 by XBar 3220, or stored in memory 3204. In at least one embodiment, the results may be written into the memory 3204 by the partition unit 3222, which implements a memory interface for writing data to the memory 3204 or reading data from the memory 3204. In at least one embodiment, the results may be transmitted to another PPU 3204 or CPU via a high speed GPU interconnect 3208. In at least one embodiment, PPU 3200 includes, but is not limited to, U partition units 3222, which is equal to the number of separate and distinct memory devices 3204 coupled to PPU 3200, described in more detail herein in connection with fig. 34.
In at least one embodiment, a host processor executes a driver core that implements an Application Programming Interface (API) that enables one or more applications executing on the host processor to schedule operations for execution on PPU 3200. In one embodiment, multiple computing applications are executed concurrently by PPU 3200, and PPU 3200 provides isolation, quality of service ("QoS"), and independent address spaces for the multiple computing applications. In at least one embodiment, an application generates instructions (e.g., in the form of API calls) that cause a driver core to generate one or more tasks for execution by PPU 3200, and the driver core outputs the tasks to one or more streams processed by PPU 3200. In at least one embodiment, each task includes one or more related thread groups, which may be referred to as thread bundles (warp). In at least one embodiment, a thread bundle includes multiple related threads (e.g., 32 threads) that may be executed in parallel. In at least one embodiment, a cooperative thread may refer to multiple threads, including instructions for performing tasks and exchanging data through shared memory, which are described in more detail in connection with FIG. 34 in accordance with at least one embodiment.
Inference and/or training logic 115 is operative to perform inference and/or training operations associated with one or more embodiments. Details regarding inference and/or training logic 115 are provided herein in connection with FIG. 1A and/or FIG. 1B. In at least one embodiment, the deep learning application processor is used to train a machine learning model (such as a neural network) to predict or infer information provided to the PPU 3200. In at least one embodiment, PPU 3200 is used to infer or predict information based on a trained machine learning model (e.g., a neural network) that has been trained by another processor or system or PPU 3200. In at least one embodiment, PPU 3200 may be used to perform one or more neural network use cases described herein.
FIG. 33 illustrates a general purpose processing cluster ("GPC") 3300 in accordance with at least one embodiment. In at least one embodiment, the GPC 3300 is the GPC 3218 of fig. 32. In at least one embodiment, each GPC 3300 includes, but is not limited to, a plurality of hardware units for processing tasks, and each GPC 3300 includes, but is not limited to, a pipeline manager 3302, a pre-raster operations unit ("preROP") 3304, a raster engine 3308, a work distribution crossbar ("WDX") 3316, a memory management unit ("MMU") 3318, one or more data processing clusters ("DPC") 3306, and any suitable combination of components.
In at least one embodiment, the operation of GPCs 3300 is controlled by a pipeline manager 3302. In at least one embodiment, the pipeline manager 3302 manages the configuration of one or more DPCs 3306 to process tasks allocated to the GPC 3300. In at least one embodiment, pipeline manager 3302 configures at least one of the one or more DPCs 3306 to implement at least a portion of a graphics rendering pipeline. In at least one embodiment, DPC 3306 is configured to execute vertex shader programs on a programmable streaming multiprocessor ("SM") 3314. In at least one embodiment, the pipeline manager 3302 is configured to route packets received from the work distribution unit to the appropriate logic units within the GPC 3300, and in at least one embodiment, some packets may be routed to fixed function hardware units in the preROP 3304 and/or the raster engine 3308, while other packets may be routed to the DPC 3306 for processing by the primitive engine 3312 or SM 3314. In at least one embodiment, the pipeline manager 3302 configures at least one of the DPCs 3306 to implement a neural network model and/or compute pipeline.
In at least one embodiment, the preROP unit 3304 is configured to route data generated by the raster engine 3308 and DPC 3306, in at least one embodiment, to a raster operations ("ROP") unit in the partition unit 3222, described in more detail above in connection with fig. 32. In at least one embodiment, preROP unit 3304 is configured to perform optimizations for color blending, organize pixel data, perform address translation, and so on. In at least one embodiment, the raster engine 3308 includes, but is not limited to, a plurality of fixed-function hardware units configured to perform various raster operations, and in at least one embodiment, the raster engine 3308 includes, but is not limited to, a setup engine, a coarse raster engine, a culling engine, a clipping engine, a fine raster engine, a tile aggregation engine, and any suitable combination thereof. In at least one embodiment, the setup engine receives the transformed vertices and generates plane equations associated with the geometric primitives defined by the vertices; the plane equations are passed to a coarse raster engine to generate coverage information for the base primitive (e.g., an x, y coverage mask for the tile); the output of the coarse raster engine will be transmitted to a culling engine where fragments associated with primitives that fail the z-test will be culled and transmitted to a clipping engine where fragments outside the viewing cone are clipped. In at least one embodiment, the clipped and culled segments are passed to a fine raster engine to generate attributes for the pixel segments based on a plane equation generated by a setup engine. In at least one embodiment, the output of the raster engine 3308 includes fragments to be processed by any suitable entity (e.g., by a fragment shader implemented within the DPC 3306).
In at least one embodiment, each DPC 3306 included in the GPC 3300 includes, but is not limited to, an M-line controller ("MPC") 3310; a primitive engine 3312; one or more SM 3314; and any suitable combination thereof. In at least one embodiment, the MPC 3310 controls the operation of the DPC 3306, routing packets received from the pipeline manager 3302 to the appropriate elements in the DPC 3306. In at least one embodiment, packets associated with the vertices are routed to primitive engine 3312, primitive engine 3312 configured to retrieve vertex attributes associated with the vertices from memory; instead, data packets associated with the shader programs may be sent to the SM 3314.
In at least one embodiment, SM 3314 includes, but is not limited to, a programmable streaming processor configured to process tasks represented by a plurality of threads. In at least one embodiment, the SM 3314 is multithreaded and configured to execute multiple threads (e.g., 32 threads) simultaneously from a particular thread group, and implements a single instruction, multiple data ("SIMD") architecture in which each thread in a group of threads (e.g., a thread bundle) is configured to process different sets of data based on the same set of instructions. In at least one embodiment, all threads in a thread group execute a common instruction set. In at least one embodiment, the SM 3314 implements a single instruction, multi-threaded ("SIMT") architecture, in which each thread in a group of threads is configured to process a different set of data based on a common set of instructions, but in which the individual threads in the group of threads are allowed to diverge during execution. In at least one embodiment, a program counter, call stack, and execution state are maintained for each thread bundle to enable concurrency between the thread bundle and serial execution within the thread bundle as threads in the thread bundle diverge. In another embodiment, a program counter, call stack, and execution state are maintained for each individual thread, so that there is equal concurrency between all threads within and between thread bundles. In at least one embodiment, an execution state is maintained for each individual thread, and threads executing general-purpose instructions may be converged and executed in parallel to improve efficiency. At least one embodiment of SM 3314 is described in more detail herein.
In at least one embodiment, the MMU 3318 provides an interface between the GPC 3300 and a memory partition unit (e.g., the partition unit 3222 of FIG. 32), and the MMU 3318 provides translation of virtual addresses to physical addresses, memory protection, and arbitration of memory requests. In at least one embodiment, the MMU 3318 provides one or more translation lookaside buffers ("TLBs") for performing translations of virtual addresses into physical addresses in memory.
Inference and/or training logic 115 is operative to perform inference and/or training operations associated with one or more embodiments. Details regarding inference and/or training logic 115 are provided herein in connection with FIG. 1A and/or FIG. 1B. In at least one embodiment, the deep learning application processor is used to train machine learning models (such as neural networks) to predict or infer information provided to the GPC 3300. In at least one embodiment, the GPCs 3300 are used to infer or predict information based on a machine learning model (e.g., a neural network) that has been trained by another processor or system or the GPCs 3300. In at least one embodiment, GPC 3300 may be used to perform one or more neural network use cases described herein.
FIG. 34 illustrates a memory partition unit 3400 of a parallel processing unit ("PPU") in accordance with at least one embodiment. In at least one embodiment, memory partition units 3400 include, but are not limited to, raster operations ("ROP") units 3402; a level two ("L2") cache 3404; a memory interface 3406; and any suitable combination thereof. In at least one embodiment, memory interface 3406 is coupled to memory. In at least one embodiment, memory interface 3406 may implement a 32, 64, 128, 1024 bit data bus, or similar implementation for high speed data transfer. In at least one embodiment, a PPU includes U memory interfaces 3406, where U is a positive integer, one memory interface 3406 per pair of partition units 3400, where each pair of partition units 3400 is coupled to a corresponding memory device. For example, in at least one embodiment, the PPU may be connected to up to Y memory devices, such as a high bandwidth memory stack or a graphics double data rate version 5 synchronous dynamic random access memory ("GDDR 5 SDRAM").
In at least one embodiment, memory interface 3406 implements a high bandwidth memory second generation ("HBM 2") memory interface, and Y is equal to half of U. In at least one embodiment, the HBM2 memory stack is located on a physical package with the PPU, which can provide a large amount of power and save area compared to conventional GDDR5 SDRAM systems. In at least one embodiment, each HBM2 stack includes, but is not limited to, four memory dies, and Y =4, each HBM2 stack includes two 128-bit channels per die for a total of 8 channels and a data bus width of 1024 bits. In at least one embodiment, the memory supports single error correction double error detection ("SECDED") error correction codes ("ECC") to protect data. In at least one embodiment, ECC may provide greater reliability for computing applications that are sensitive to data corruption.
In at least one embodiment, the PPU implements a multi-level memory hierarchy. In at least one embodiment, memory partition unit 3400 supports unified memory to provide a single unified virtual address space for a central processing unit ("CPU") and PPU memory to enable data sharing between virtual memory systems. In at least one embodiment, the frequency of accesses by the PPU to memory located on other processors is tracked to ensure that pages of memory are moved to the physical memory of the PPU that more frequently access the pages. In at least one embodiment, the high speed GPU interconnect 3208 supports address translation services that allow the PPU to directly access the CPU's page tables and provide full access to the CPU memory through the PPU.
In at least one embodiment, the replication engine transfers data between multiple PPUs or between a PPU and a CPU. In at least one embodiment, the copy engine may generate a page fault for an address that is not mapped into the page table, and memory partition unit 3400 then services the page fault, maps the address into the page table, and the copy engine then performs the transfer. In at least one embodiment, fixed (i.e., non-pageable) memory is operated for multiple replication engines among multiple processors, thereby substantially reducing available memory. In at least one embodiment, in the event of a hardware page fault, the address may be passed to the copy engine regardless of whether the memory page resides, and the copy process is transparent.
According to at least one embodiment, data from the memory 3204 or other system memory of fig. 32 is fetched by the memory partitioning unit 3400 and stored in the L2 cache 3404, the L2 cache 3404 being on-chip and shared among various GPCs. In at least one embodiment, each memory partition unit 3400 includes, but is not limited to, at least a portion of an L2 cache associated with a corresponding memory device. In at least one embodiment, the lower level cache is implemented in various units within the GPC. In at least one embodiment, each SM 2714 of fig. 33 may implement a level one ("L1") cache, where the L1 cache is a private memory dedicated to a particular SM 2714, and data is fetched from the L2 cache 3404 and stored in each L1 cache for processing in the functional units of the SM 2714. In at least one embodiment, the L2 cache 3404 is coupled to a memory interface 3406 and XBar 3220 as shown in fig. 32.
In at least one embodiment, ROP unit 3402 performs graphics raster operations related to pixel color, such as color compression, pixel blending, and the like. In at least one embodiment, ROP unit 3402 performs a depth test in conjunction with raster engine 3308, receiving the depth of a sample location associated with a pixel fragment from a culling engine of raster engine 3308. In at least one embodiment, the depths are tested for respective depths in a depth buffer of sample locations associated with the fragment. In at least one embodiment, if the fragment passes the depth test for the sample location, ROP unit 3402 updates the depth buffer and sends the results of the depth test to raster engine 3308. It will be appreciated that the number of partition units 3400 may be different than the number of GPCs, and thus, each ROP unit 3402 may be coupled to each GPC in at least one embodiment. In at least one embodiment, ROP unit 3402 tracks packets received from different GPCs and determines whether results generated by ROP unit 3402 are to be routed through XBar 3220.
Fig. 35 illustrates a streaming multiprocessor ("SM") 3500 in accordance with at least one embodiment. In at least one embodiment, SM 3500 is the SM of fig. 33. In at least one embodiment, SM 3500 includes, but is not limited to, instruction cache 3502; one or more scheduler units 3504; register file 3508; one or more processing cores ("cores") 3510; one or more special function units ("SFUs") 3512; one or more load/store units ("LSUs") 3514; an interconnection network 3516; shared memory/level one ("L1") cache 3518; and/or any suitable combination thereof.
In at least one embodiment, a work allocation unit schedules tasks to execute on a general purpose processing cluster ("GPC") of a parallel processing unit ("PPU"), and each task is allocated to a particular data processing cluster ("DPC") internal to the GPC, and if the task is associated with a shader program, the task is allocated to one of the SMs 3500. In at least one embodiment, the scheduler unit 3504 receives tasks from the work allocation unit and manages the scheduling of instructions for one or more thread blocks allocated to the SM 3500. In at least one embodiment, scheduler unit 3504 schedules the thread blocks to execute as bundles of parallel threads, wherein each thread block is assigned at least one bundle. In at least one embodiment, each thread bundle executes a thread. In at least one embodiment, the scheduler unit 3504 manages a plurality of different thread blocks, allocates thread bundles to the different thread blocks, and then dispatches instructions from a plurality of different cooperative groups to various functional units (e.g., the processing core 3510, the SFU 3512, and the LSU 3514) in each clock cycle.
In at least one embodiment, a collaboration group may refer to a programming model for organizing groups of communication threads that allows developers to express the granularity at which threads are communicating, thereby enabling the expression of richer, more efficient parallel decompositions. In at least one embodiment, the collaborative launch API supports synchronization between thread blocks to execute parallel algorithms. In at least one embodiment, the application of the conventional programming model provides a single, simple construct for synchronizing the cooperative threads: a barrier (e.g., synchrads () function) across all threads of a thread block. However, in at least one embodiment, a programmer may define thread groups at less than thread block granularity and synchronize within the defined groups to achieve greater performance, design flexibility, and software reuse in the form of an aggregate group-wide functional interface. In at least one embodiment, the collaboration group enables programmers to explicitly define thread groups at sub-block (i.e., as small as a single thread) and multi-block granularity, and perform collective operations, such as synchronizing threads in the collaboration group. In at least one embodiment, the programming model supports clean composition across software boundaries so that library and utility functions can be safely synchronized in their local environment without assumptions about convergence. In at least one embodiment, the collaboration group primitives enable new patterns of collaboration parallelism, including but not limited to producer-consumer parallelism, opportunistic parallelism, and global synchronization across the thread block grid.
In at least one embodiment, the dispatch units 3506 are configured to send instructions to one or more of the functional units, and the scheduler unit 3504 includes, but is not limited to, two dispatch units 3506 that enable two different instructions from a common thread bundle to be scheduled at each clock cycle. In at least one embodiment, each scheduler unit 3504 includes a single dispatch unit 3506 or additional dispatch units 3506.
In at least one embodiment, each SM 3500 includes, in at least one embodiment, but is not limited to, a register file 3508. The register file 3508 provides a set of registers for the functional units of the SM 3500. In at least one embodiment, register file 3508 is divided among each functional unit such that a dedicated portion of register file 3508 is allocated for each functional unit. In at least one embodiment, register file 3508 is divided among the different threads executed by SM 3500, and register file 3508 provides temporary storage for operands connected to the data paths of the functional units. In at least one embodiment, each SM 3500 includes, but is not limited to, a plurality L of processing cores 3510, where L is a positive integer. In at least one embodiment, SM 3500 includes, but is not limited to, a large number (e.g., 128 or more) of different processing cores 3510. In at least one embodiment, each processing core 3510 includes, but is not limited to, a full-pipeline, single-precision, double-precision, and/or mixed-precision processing unit, including, but not limited to, a floating-point arithmetic logic unit and an integer arithmetic logic unit. In at least one embodiment, the floating point arithmetic logic unit implements the IEEE 754-2008 standard for floating point arithmetic. In at least one embodiment, the processing cores 3510 include, but are not limited to, 64 single-precision (32-bit) floating-point cores, 64 integer cores, 32 double-precision (64-bit) floating-point cores, and 8 tensor cores.
In accordance with at least one embodiment, the tensor core is configured to perform matrix operations. In at least one embodiment, the one or more tensor cores are included in the processing core 3510. In at least one embodiment, the tensor core is configured to perform deep learning matrix arithmetic, such as convolution operations for neural network training and reasoning. In at least one embodiment, each tensor core operates on a 4x4 matrix and performs a matrix multiply and accumulate operation D = a x B + C, where A, B, C and D are 4x4 matrices.
In at least one embodiment, the matrix multiplication inputs a and B are 16-bit floating point matrices, and the accumulation matrices C and D are 16-bit floating point or 32-bit floating point matrices. In at least one embodiment, the tensor core performs a 32-bit floating-point accumulation operation on 16-bit floating-point input data. In at least one embodiment, 16-bit floating-point multiplication uses 64 operations and results in a full precision product, which is then accumulated with other intermediate products using 32-bit floating-point addition to perform a 4x4x4 matrix multiplication. In at least one embodiment, the tensor core is used to perform larger two-dimensional or higher-dimensional matrix operations composed of these smaller elements. In at least one embodiment, an API (such as the CUDA 9C + + API) exposes specialized matrix load, matrix multiply and accumulate, and matrix store operations to efficiently use the tensor core from the CUDA-C + + program. In at least one embodiment, at the CUDA level, the thread bundle level interface assumes a 16 x 16 size matrix that spans all 32 thread bundle threads.
In at least one embodiment, each SM 3500 includes, but is not limited to, M SFUs 3512 that perform a particular function (e.g., attribute evaluation, reciprocal square root, etc.). In at least one embodiment, SFU 3512 includes, but is not limited to, a tree traversal unit configured to traverse a hierarchical tree data structure. In at least one embodiment, SFU 3512 includes, but is not limited to, texture units configured to perform texture mapping filtering operations. In at least one embodiment, the texture unit is configured to load a texture map (e.g., a 2D array of texels) and a sampled texture map from memory to produce sampled texture values for use by a shader program executed by SM 3500. In at least one embodiment, the texture map is stored in shared memory/L1 cache 3518. In at least one embodiment, according to at least one embodiment, a texture unit uses mip-maps (e.g., texture maps with different levels of detail) to implement texture operations, such as filtering operations. In at least one embodiment, each SM 3500 includes, but is not limited to, two texture units.
In at least one embodiment, each SM 3500 includes, but is not limited to, N LSUs 3514 that implement load and store operations between shared memory/L1 cache 3518 and register file 3508. In at least one embodiment, an interconnection network 3516 connects each functional unit to a register file 3508, and LSU 3514 connects to the register file 3508 and shared memory/L1 cache 3518. In at least one embodiment, the interconnection network 3516 is a crossbar that may be configured to connect any functional unit to any register in the register file 3508 and to connect the LSU 3514 to memory locations in the register file 3508 and the shared memory/L1 cache 3518.
In at least one embodiment, the shared memory/L1 cache 3518 is an array of on-chip memory that, in at least one embodiment, allows data storage and communication between the SM 3500 and the primitive engines, and between threads in the SM 3500. In at least one embodiment, the shared memory/L1 cache 3518 includes, but is not limited to, 128KB of storage capacity and is located in the path from the SM 3500 to the partition unit. In at least one embodiment, the shared memory/L1 cache 3518 is used in at least one embodiment to cache reads and writes. In at least one embodiment, one or more of the shared memory/L1 cache 3518, L2 cache, and memory are backing stores.
In at least one embodiment, combining data caching and shared memory functions into a single memory block provides improved performance for both types of memory accesses. In at least one embodiment, capacity is used by or as a cache for programs that do not use shared memory, for example if the shared memory is configured to use half of the capacity, and texture and load/store operations may use the remaining capacity. In accordance with at least one embodiment, integration within shared memory/L1 cache 3518 enables shared memory/L1 cache 3518 to serve as a high throughput pipeline for streaming data, while providing high bandwidth and low latency access to frequently reused data. In at least one embodiment, when configured for general purpose parallel computing, a simpler configuration may be used compared to graphics processing. In at least one embodiment, fixed function graphics processing units are bypassed, thereby creating a simpler programming model. In at least one embodiment, in a general purpose parallel computing configuration, the work allocation unit allocates and distributes blocks of threads directly to the DPCs. In at least one embodiment, the threads in the block execute a general purpose program, use unique thread IDs in the computations to ensure that each thread generates a unique result, execute the program and perform the computations using the SM 3500, communicate between threads using the shared memory/L1 cache 3518, and read and write global memory through the shared memory/L1 cache 3518 and memory partition units using the LSU 3514. In at least one embodiment, when configured for general purpose parallel computing, SM 3500 writes to scheduler unit 3504 a command that can be used to initiate a new job on the DPC.
In at least one embodiment, the PPU is included in or coupled with a desktop computer, laptop computer, tablet computer, server, supercomputer, smartphone (e.g., wireless, handheld device), personal digital assistant ("PDA"), digital camera, vehicle, head mounted display, handheld electronic device, or the like. In at least one embodiment, the PPU is implemented on a single semiconductor substrate. In at least one embodiment, the PPU is included in a system on chip ("SoC") along with one or more other devices (e.g., an additional PPU, memory, a reduced instruction set computer ("RISC") CPU, one or more memory management units ("MMUs"), digital-to-analog converters ("DACs"), etc.).
In at least one embodiment, the PPU may be included on a graphics card that includes one or more memory devices. In at least one embodiment, the graphics card may be configured to connect to a PCIe slot on the desktop computer motherboard. In at least one embodiment, the PPU may be an integrated graphics processing unit ("iGPU") included in a chipset of a motherboard.
Inference and/or training logic 115 is operative to perform inference and/or training operations related to one or more embodiments. Details regarding inference and/or training logic 115 are provided herein in connection with FIG. 1A and/or FIG. 1B. In at least one embodiment, the deep learning application processor is used to train a machine learning model (such as a neural network) to predict or infer information provided to the SM 3500. In at least one embodiment, SM 3500 is used to infer or predict information based on a machine learning model (e.g., a neural network) that has been trained by another processor or system or by SM 3500. In at least one embodiment, SM 3500 can be used to perform one or more neural network use cases described herein.
Embodiments are disclosed that relate to a virtualized computing platform for advanced computing.
Referring to FIG. 36, FIG. 36 of an image processing and reasoning pipeline is generated and deployed in accordance with at least one embodiment. In at least one embodiment, the process 3600 can be deployed for use with imaging devices, processing devices, genomic devices, gene sequencing devices, radiological devices, and/or other device types at one or more facilities 3602, such as a medical facility, hospital, medical facility, clinic, research or diagnostic laboratory, and so forth. In at least one embodiment, the process 3600 can be deployed to perform genomic analysis and reasoning on sequencing data. Examples of genomic analysis that may be performed using the systems and processes described herein include, but are not limited to, identifying variants, mutation detection, and gene expression quantification.
In at least one embodiment, the process 3600 may be performed within the training system 3604 and/or the deployment system 3606. In at least one embodiment, the training system 3604 may be used to perform training, deployment, and implementation of machine learning models (e.g., neural networks, object detection algorithms, computer vision algorithms, etc.) for the deployment system 3606. In at least one embodiment, the deployment system 3606 may be configured to offload processing and computing resources in a distributed computing environment to reduce infrastructure requirements at the facility 3602. In at least one embodiment, the deployment system 3606 can provide a streamlined platform for selecting, customizing, and implementing virtual instruments for use with imaging devices (e.g., MRI, CT scan, X-ray, ultrasound, etc.) or sequencing devices at the facility 3602. In at least one embodiment, the virtual instrument may include a software-defined application for performing one or more processing operations on imaging data generated by an imaging device, a sequencing device, a radiological device, and/or other device types. In at least one embodiment, one or more applications in the pipeline can use or invoke services (e.g., inference, visualization, computation, AI, etc.) of the deployment system 3606 during execution of the applications.
In at least one embodiment, some applications used in advanced processing and reasoning pipelines may use machine learning models or other AI's to perform one or more processing steps. In at least one embodiment, the machine learning model can be trained at the facility 3602 using data 3608 (e.g., imaging data) generated at the facility 3602 (and stored on one or more Picture Archiving and Communication Systems (PACS) servers at the facility 3602), can be trained using imaging or sequencing data 3608 from another or more facilities (e.g., different hospitals, laboratories, clinics, etc.), or a combination thereof. In at least one embodiment, the training system 3604 may be used to provide applications, services, and/or other resources for generating a working, deployable machine learning model for the deployment system 3606.
In at least one embodiment, model registry 3624 can be supported by an object store that can support versioning and object metadata. In at least one embodiment, the object store may be accessed through a cloud store (e.g., cloud 3126 of fig. 31) such as a compatible Application Programming Interface (API) within a cloud platform. In at least one embodiment, the machine learning models within the model registry 3624 can be uploaded, listed, modified, or deleted by a developer or partner of the system interacting with the API. In at least one embodiment, the API can provide access to methods that allow users with appropriate credentials to associate the model with the application, such that the model can be executed as part of the execution of the containerized instance of the application.
In at least one embodiment, the training pipeline 3704 (fig. 37) can include scenarios where the facility 3602 is training their own machine learning models or has existing machine learning models that need to be optimized or updated. In at least one embodiment, imaging data 3608 generated by an imaging device, a sequencing device, and/or other device type can be received. In at least one embodiment, upon receiving imaging data 3608, ai-assist annotations 3610 may be used to help generate annotations corresponding to the imaging data 3608 for use as ground truth data for a machine learning model. In at least one embodiment, AI-assisted annotations 3610 can include one or more machine learning models (e.g., convolutional Neural Networks (CNNs)) that can be trained to generate annotations corresponding to certain types of imaging data 3608 (e.g., from certain devices) and/or certain types of anomalies in imaging data 3608. In at least one embodiment, the AI-assisted annotations 3610 can then be used directly, or can be adjusted or refined (e.g., by a researcher, clinician, doctor, scientist, etc.) using annotation tools to generate truth data. In at least one embodiment, labeled clinical data 3612 (e.g., annotations provided by clinicians, doctors, scientists, technicians, etc.) may be used as ground truth data for training a machine learning model in some examples. In at least one embodiment, the AI-assisted annotations 3610, the labeled clinical data 3612, or a combination thereof, can be used as ground truth data for training the machine learning model. In at least one embodiment, the trained machine learning model may be referred to as an output model 3616 and may be used by the deployment system 3606, as described herein.
In at least one embodiment, the training pipeline 3704 (FIG. 37) can include the following scenarios: where the facility 3602 requires a machine learning model for performing one or more processing tasks for deploying one or more applications in the system 3606, the facility 3602 may not currently have such a machine learning model (or may not have an efficient or effective model optimized for this purpose). In at least one embodiment, an existing machine learning model may be selected from the model registry 3624. In at least one embodiment, the model registry 3624 can include machine learning models trained to perform a variety of different inference tasks on the imaging data. In at least one embodiment, the machine learning models in model registry 3624 can be trained on imaging data from a different facility (e.g., a remotely located facility) than facility 3602. In at least one embodiment, the machine learning model may have been trained on imaging data from one location, two locations, or any number of locations. In at least one embodiment, when training on imaging data from a particular location, the training may be performed at that location, or at least in a manner that protects the confidentiality of the imaging data or limits the transfer of imaging data from off-site (e.g., compliance with HIPAA regulations, privacy regulations, etc.). In at least one embodiment, once the model is trained, or partially trained, at one location, the machine learning model can be added to the model registry 3624. In at least one embodiment, the machine learning model may then be retrained or updated at any number of other facilities, and the retrained or updated model may be used in the model registry 3624. In at least one embodiment, a machine learning model (and referred to as an output model 3616) can then be selected from the model registry 3624 and can be in the deployment system 3606 to perform one or more processing tasks for one or more applications of the deployment system.
In at least one embodiment, the training pipeline 3704 (fig. 37) may be used in a scenario that includes a facility 3602 that requires a machine learning model for performing one or more processing tasks for deploying one or more applications in the system 3606, but the facility 3602 may not currently have such a machine learning model (or may not have an optimized, efficient, or effective model). In at least one embodiment, the machine learning model selected from model registry 3624 may not be fine-tuned or optimized for imaging data 3608 generated at facility 3602 due to population differences, genetic variations, robustness of training data used to train the machine learning model, diversity of training data anomalies, and/or other issues with the training data. In at least one embodiment, AI auxiliary annotations 3610 may be used to help generate annotations corresponding to imaging data 3608 for use as ground truth data to train or update a machine learning model. In at least one embodiment, the tagged data 3612 can be used as ground truth data for training a machine learning model. In at least one embodiment, retraining or updating the machine learning model may be referred to as model training 3614. In at least one embodiment, the model training 3614 (e.g., AI-assisted annotation 3610, tagged data 3612, or a combination thereof) can be used as ground truth data to retrain or update the machine learning model.
In at least one embodiment, deployment system 3606 may include software 3618, services 3620, hardware 3622, and/or other components, features, and functions. In at least one embodiment, deployment system 3606 may include a software "stack" such that software 3618 may be built on top of services 3620 and may use services 3620 to perform some or all of the processing tasks, and services 3620 and software 3618 may be built on top of hardware 3622 and use hardware 3622 to perform the processing, storage, and/or other computing tasks of deployment system 3606.
In at least one embodiment, software 3618 can include any number of different containers, where each container can perform an instantiation of an application. In at least one embodiment, each application may perform one or more processing tasks (e.g., inference, object detection, feature detection, segmentation, image enhancement, calibration, etc.) in a high-level processing and inference pipeline. In at least one embodiment, there may be any number of containers for each type of computing device that can perform data processing tasks on imaging data 3608 (or other data types, such as those described herein). In at least one embodiment, in addition to receiving and configuring imaging data for use by each container and/or containers used by the facility 3602 after processing through the pipeline, a high-level processing and reasoning pipeline can be defined based on a selection of different containers desired or needed to process the imaging data 3608 (e.g., to convert output back to usable data types for storage and display at the facility 3602). In at least one embodiment, the combination of containers (e.g., which constitute a pipeline) within software 3618 can be referred to as a virtual appliance (as described in more detail herein), and the virtual appliance can utilize services 3620 and hardware 3622 to perform some or all of the processing tasks of applications instantiated in the container.
In at least one embodiment, data may be pre-processed as part of a data processing pipeline to prepare the data for processing by one or more applications. In at least one embodiment, post-processing can be performed on the output of one or more inference tasks or other processing tasks of the pipeline to prepare output data for the next application and/or to prepare output data for transmission and/or use by a user (e.g., as a response to an inference request). In at least one embodiment, the inference task may be performed by one or more machine learning models, such as trained or deployed neural networks, which may include the output model 3616 of the training system 3604.
In at least one embodiment, the tasks of the data processing pipeline may be encapsulated in containers, each container representing a discrete, fully functional instantiation of an application and a virtualized computing environment that can reference a machine learning model. In at least one embodiment, the container or application can be published into a private (e.g., limited-access) area of a container registry (described in more detail herein), and the trained or deployed model can be stored in model registry 3624 and associated with one or more applications. In at least one embodiment, an image of an application (e.g., a container image) can be used in a container registry, and once a user selects an image from the container registry for deployment in a pipeline, the image can be used to generate a container for instantiation of the application for use by the user's system.
In at least one embodiment, a developer can develop, publish, and store applications (e.g., as containers) for performing image processing and/or reasoning on provided data. In at least one embodiment, development, publishing, and/or storage may be performed using a Software Development Kit (SDK) associated with the system (e.g., to ensure that the developed applications and/or containers are consistent with or compatible with the system). In at least one embodiment, the developed application may be tested locally (e.g., at the first facility, testing data from the first facility) using an SDK that, as a system (e.g., system 3700 in fig. 37), may support at least some services 3620. In at least one embodiment, once validated by the system 3700 (e.g., for accuracy, etc.), the application is available in the container registry for selection and/or implementation by a user (e.g., a hospital, clinic, laboratory, healthcare provider, etc.) to perform one or more processing tasks on data at the user's facility (e.g., the second facility).
In at least one embodiment, the developers can then share applications or containers over the network for access and use by users of the system (e.g., system 3700 of fig. 37). In at least one embodiment, the completed and validated application or container can be stored in a container registry, and the associated machine learning model can be stored in a model registry 3624. In at least one embodiment, the requesting entity (which provides the inference or image processing request) can browse the container registry and/or model registry 3624 to obtain applications, containers, data sets, machine learning models, and the like, select the desired combination of elements to include in the data processing pipeline, and submit a processing request. In at least one embodiment, the request may include input data necessary to execute the request, and/or may include a selection of an application and/or machine learning model to execute when processing the request. In at least one embodiment, the request can then be passed to one or more components (e.g., the cloud) of the deployment system 3606 to perform processing of the data processing pipeline. In at least one embodiment, the processing by the deployment system 3606 can include referencing elements (e.g., applications, containers, models, etc.) selected from the container registry and/or the model registry 3624. In at least one embodiment, once the results are generated through the pipeline, the results can be returned to the user for reference (e.g., for viewing in a viewing application suite executing locally, on a local workstation or terminal).
In at least one embodiment, to assist in processing or executing applications or containers in the pipeline, services 3620 can be utilized. In at least one embodiment, services 3620 can include computing services, artificial Intelligence (AI) services, visualization services, and/or other service types. In at least one embodiment, the services 3620 can provide functionality that is common to one or more applications in the software 3618, and thus can abstract functionality into services that can be invoked or utilized by the applications. In at least one embodiment, the functionality provided by services 3620 can run dynamically and more efficiently, while also scaling well by allowing applications to process data in parallel (e.g., using parallel computing platform 3730 in FIG. 37). In at least one embodiment, rather than requiring that each application sharing the same functionality provided by the service 3620 necessarily have a respective instance of the service 3620, the service 3620 can be shared between and among the various applications. In at least one embodiment, the service can include, by way of non-limiting example, an inference server or engine that can be used to perform detection or segmentation tasks. In at least one embodiment, a model training service may be included that may provide machine learning model training and/or retraining capabilities.
In at least one embodiment, where services 3620 include AI services (e.g., inference services), as part of application execution, one or more machine learning models associated with an application for anomaly detection (e.g., a tumor, growth anomaly, scar formation, etc.) can be executed by invoking (e.g., calling as an API) an inference service (e.g., inference server) to execute one or more machine learning models or processes thereof. In at least one embodiment, where another application includes one or more machine learning models for a split task, the application may invoke an inference service to execute the machine learning models for performing one or more processing operations associated with the split task. In at least one embodiment, software 3618 implementing the high-level processing and inference pipelines can be pipelined, as each application can call the same inference service to perform one or more inference tasks.
In at least one embodiment, the hardware 3622 can include a GPU, a CPU, a graphics card, an AI/deep learning system (e.g., an AI supercomputer such as the DGX supercomputer system of NVIDIA), a cloud platform, or a combination thereof. In at least one embodiment, different types of hardware 3622 can be used to provide efficient, specifically-built support for software 3618 and services 3620 in the deployment system 3606. In at least one embodiment, the use of GPU processing for local processing (e.g., at the facility 3602) within the AI/deep learning system, in the cloud system, and/or in other processing components of the deployment system 3606 may be implemented to improve efficiency, accuracy, and effectiveness of game name identification.
In at least one embodiment, software 3618 and/or services 3620 may be optimized for GPU processing with respect to deep learning, machine learning, and/or high performance computing, as non-limiting examples. In at least one embodiment, at least some of the computing environments of the deployment system 3606 and/or the training system 3604 may be executed in a datacenter, one or more supercomputers, or a high-performance computer system having GPU-optimized software (e.g., a combination of hardware and software of an NVIDIA DGX system). In at least one embodiment, hardware 3622 can include any number of GPUs that can be invoked to perform data processing in parallel, as described herein. In at least one embodiment, the cloud platform may also include GPU processing for GPU optimized execution of deep learning tasks, machine learning tasks, or other computing tasks. In at least one embodiment, the cloud platform (e.g., NGC of NVIDIA) may be executed using AI/deep learning supercomputer and/or GPU optimized software (e.g., as provided on the DGX system of NVIDIA) as a hardware abstraction and scaling platform. In at least one embodiment, the cloud platform may integrate an application container cluster system or coordination system (e.g., kubbernetes) on multiple GPUs to enable seamless scaling and load balancing.
FIG. 37 is a system diagram of an example system 3700 for generating and deploying a deployment pipeline in accordance with at least one embodiment. In at least one embodiment, the system 3700 can be utilized to implement the process 3600 of fig. 36 and/or other processes, including high-level processing and inference pipelines. In at least one embodiment, the system 3700 can include a training system 3604 and a deployment system 3606. In at least one embodiment, training system 3604 and deployment system 3606 may be implemented using software 3618, services 3620, and/or hardware 3622, as described herein.
In at least one embodiment, the system 3700 (e.g., the training system 3604 and/or the deployment system 3606) can be implemented in a cloud computing environment (e.g., using the cloud 3726). In at least one embodiment, the system 3700 can be implemented locally (with respect to the facility), or as a combination of cloud computing resources and local computing resources. In at least one embodiment, access to APIs in cloud 3726 can be restricted to authorized users by enacting security measures or protocols. In at least one embodiment, the security protocol may include a network token, which may be signed by an authentication (e.g., authN, authZ, gluecon, etc.) service, and may carry the appropriate authorization. In at least one embodiment, the API of the virtual instrument (described herein) or other instances of the system 3700 may be limited to a set of public IPs that have been audited or authorized for interaction.
In at least one embodiment, the various components of the system 3700 may communicate with one another using any of a number of different network types, including, but not limited to, a Local Area Network (LAN) and/or a Wide Area Network (WAN) via wired and/or wireless communication protocols. In at least one embodiment, communications between the facilities and components of system 3700 (e.g., for sending inference requests, for receiving results of inference requests, etc.) may be transmitted over one or more data buses, wireless data protocols (Wi-Fi), wired data protocols (e.g., ethernet), and so forth.
In at least one embodiment, the training system 3604 may execute a training pipeline 3704 similar to that described herein with respect to fig. 36. In at least one embodiment, where the deployment system 3606 is to use one or more machine learning models in the deployment pipeline 3710, the training pipeline 3704 can be used to train or retrain one or more (e.g., pre-trained) models, and/or implement one or more pre-trained models 3706 (e.g., without retraining or updating). In at least one embodiment, as a result of training pipeline 3704, an output model 3616 can be generated. In at least one embodiment, the training pipeline 3704 may include any number of processing steps, AI auxiliary annotations 3610, tagging or annotations of imaging data 3608 (data for generating tags 3612), selecting a model from a model registry, model training 3614, training, retraining or updating a model, and/or other processing steps. In at least one embodiment, different training pipelines 3704 can be used for different machine learning models used by the deployment system 3606. In at least one embodiment, a training pipeline 3704 similar to the first example described with respect to fig. 36 may be used for the first machine learning model, a training pipeline 3704 similar to the second example described with respect to fig. 36 may be used for the second machine learning model, and a training pipeline 3704 similar to the third example described with respect to fig. 36 may be used for the third machine learning model. In at least one embodiment, any combination of tasks within the training system 3604 may be used according to the requirements of each respective machine learning model. In at least one embodiment, the one or more machine learning models may have been trained and ready for deployment, so the training system 3604 may not perform any processing on the machine learning models, and the one or more machine learning models may be implemented by the deployment system 3606.
In at least one embodiment, the output model 3616 and/or the pre-trained model 3706 may include any type of machine learning model, depending on the implementation or embodiment. In at least one embodiment and not by way of limitation, the machine learning models used by the system 3700 can include machine learning models that use linear regression, logistic regression, decision trees, support Vector Machines (SVMs), naive bayes, k-nearest neighbors (Knn), k-means clustering, random forests, dimensionality reduction algorithms, gradient lifting algorithms, neural networks (e.g., autoencoders, convolutions, recursions, perceptors, long/short term memory (LSTM), bi-LSTM, hopfields, boltzmann, deep beliefs, deconvolution, generative confrontations, liquid state machines, etc.), and/or other types.
In at least one embodiment, the training pipeline 3704 may include AI-assisted annotations. In at least one embodiment, tagged data 3612 (e.g., traditional annotations) can be generated by any number of techniques. In at least one embodiment, the tag or other annotation may be generated, in some examples, in a drawing program (e.g., an annotation program), a computer-aided design (CAD) program, a marking program, another type of application suitable for generating annotations or tags for ground truth, and/or may be hand drawn. In at least one embodiment, the ground truth data may be synthetically produced (e.g., generated from a computer model or rendering), truly produced (e.g., designed and generated from real-world data), automatically produced by machine (e.g., using feature analysis and learning to extract features from the data and then generate tags), manually annotated (e.g., markers or annotation experts, defining the location of tags), and/or combinations thereof. In at least one embodiment, for each instance of imaging data 3608 (or other data type used by the machine learning model), there may be corresponding ground truth data generated by training system 3604. In at least one embodiment, AI-assist annotations can be performed as part of the deployment pipeline 3710; in addition to or in lieu of AI-assisted annotations included in the training pipeline 3704. In at least one embodiment, the system 3700 can include a multi-tier platform that can include software layers (e.g., software 3618) of a diagnostic application (or other application type) that can perform one or more medical imaging and diagnostic functions.
In at least one embodiment, the software layer may be implemented as a secure, encrypted, and/or authenticated API through which an (invoke) (e.g., call) application or container may be invoked from an external environment (e.g., facility 3602). In at least one embodiment, applications can then invoke or execute one or more services 3620 to perform computing, AI, or visualization tasks associated with the respective application, and software 3618 and/or services 3620 can utilize hardware 3622 to perform processing tasks in an efficient and effective manner.
In at least one embodiment, the deployment system 3606 can execute the deployment pipeline 3710. In at least one embodiment, the deployment pipeline 3710 can include any number of applications that can be sequential, non-sequential, or otherwise applied to feedback data (and/or other data types) -including AI-assisted annotations, as described above. In at least one embodiment, the deployment pipeline 3710 for individual devices may be referred to as a virtual instrument for the device, as described herein. In at least one embodiment, there may be more than one deployment pipeline 3710 for a single device, depending on the information desired from the data generated by the device.
In at least one embodiment, the applications that may be used to deploy pipeline 3710 may include any application that may be used to perform processing tasks on feedback data or other data from a device. In at least one embodiment, since various applications may share common image operations, in some embodiments, a data enhancement library (e.g., as one of services 3620) may be used to accelerate these operations. In at least one embodiment, to avoid bottlenecks in traditional processing methods that rely on CPU processing, the parallel computing platform 3730 may be used for GPU acceleration of these processing tasks.
In at least one embodiment, the deployment system 3606 can include a user interface 3714 (e.g., a graphical user interface, a Web interface, etc.) that can be used to select applications to be included in the deployment pipeline 3710, arrange applications, modify or change applications or parameters or constructs thereof, use and interact with the deployment pipeline 3710 during setup and/or deployment, and/or otherwise interact with the deployment system 3606. In at least one embodiment, although not shown with respect to the training system 3604, the user interface 3714 (or a different user interface) may be used to select models for use in the deployment system 3606, to select models for training or retraining in the training system 3604, and/or to otherwise interact with the training system 3604.
In at least one embodiment, in addition to the application coordination system 3728, the pipeline manager 3712 can be used to manage interactions between applications or containers of the deployment pipeline 3710 and the services 3620 and/or hardware 3622. In at least one embodiment, the pipeline manager 3712 may be configured to facilitate interactions from applications to applications, from applications to services 3620, and/or from applications or services to hardware 3622. In at least one embodiment, although illustrated as being included in software 3618, this is not intended to be limiting and in some examples, pipeline manager 3712 may be included in services 3620. In at least one embodiment, the application coordination system 3728 (e.g., kubernets, DOCKER, etc.) may include a container coordination system that may group applications into containers as logical units for coordination, management, scaling, and deployment. In at least one embodiment, by associating applications (e.g., rebuild applications, split applications, etc.) from the deployment pipeline 3710 with respective containers, each application may execute in a self-contained environment (e.g., at the kernel level) to increase speed and efficiency.
In at least one embodiment, each application and/or container (or image thereof) may be separately developed, modified, and deployed (e.g., a first user or developer may develop, modify, and deploy a first application, and a second user or developer may develop, modify, and deploy a second application separate from the first user or developer), which may allow for the task of focusing on and focusing on a single application and/or container without being hindered by the task of another application or container. In at least one embodiment, the pipeline manager 3712 and the application coordination system 3728 may facilitate communication and collaboration between different containers or applications. In at least one embodiment, the application coordination system 3728 and/or the pipeline manager 3712 can facilitate communication and sharing of resources between and among each application or container as long as the expected inputs and/or outputs of each container or application are known to the system (e.g., based on the configuration of the application or container). In at least one embodiment, because one or more applications or containers in the deployment pipeline 3710 can share the same services and resources, the application coordination system 3728 can coordinate, load balance, and determine the sharing of services or resources among and among the various applications or containers. In at least one embodiment, a scheduler can be used to track resource requirements of an application or container, current or projected use of these resources, and resource availability. Thus, in at least one embodiment, the scheduler can allocate resources to different applications and between and among applications, taking into account the needs and availability of the system. In some examples, the scheduler (and/or other components of the application coordination system 3728) may determine resource availability and distribution based on constraints imposed on the system (e.g., user constraints), such as quality of service (QoS), an imminent need for data output (e.g., to determine whether to perform real-time processing or delayed processing), and so forth.
In at least one embodiment, the services 3620 utilized by and shared by applications or containers in the deployment system 3606 can include computing services 3716, AI services 3718, visualization services 3720, and/or other service types. In at least one embodiment, an application can invoke (e.g., execute) one or more services 3620 to perform processing operations for the application. In at least one embodiment, the application may utilize the computing service 3716 to perform supercomputing or other High Performance Computing (HPC) tasks. In at least one embodiment, parallel processing may be performed with one or more computing services 3716 (e.g., using parallel computing platform 3730) to process data substantially simultaneously by one or more applications and/or one or more tasks of a single application. In at least one embodiment, parallel computing platform 3730 (e.g., CUDA for NVIDIA) may implement general purpose computing on a GPU (GPGPU) (e.g., GPU 3722). In at least one embodiment, a software layer of parallel computing platform 3730 may provide access to the virtual instruction set and parallel compute elements of the GPU to execute the compute kernels. In at least one embodiment, parallel computing platform 3730 may include memory, and in some embodiments, memory may be shared between and among multiple containers, and/or between and among different processing tasks within a single container. In at least one embodiment, inter-process communication (IPC) calls may be generated for multiple containers and/or multiple processes within a container to use the same data from the shared memory segment of parallel computing platform 3730 (e.g., where multiple different phases of an application or applications are processing the same information). In at least one embodiment, rather than copying and moving data to different locations in memory (e.g., read/write operations), the same data in the same locations in memory may be used for any number of processing tasks (e.g., at the same time, at different times, etc.). In at least one embodiment, since the data is used to generate new data as a result of the processing, this information of the new location of the data can be stored and shared among the various applications. In at least one embodiment, the location of the data and the location of the updated or modified data may be part of a definition of how the payload in the container is understood.
In at least one embodiment, AI service 3718 can be utilized to perform an inference service that is employed to execute a machine learning model associated with an application (e.g., a task that is one or more processing tasks of executing the application). In at least one embodiment, the AI service 3718 can utilize the AI system 3724 to perform machine learning models (e.g., neural networks such as CNN) for segmentation, reconstruction, object detection, feature detection, classification, and/or other inference tasks. In at least one embodiment, the application of the deployment pipeline 3710 can use one or more output models 3616 from the training system 3604 and/or other models of the application to perform reasoning on imaging data (e.g., DICOM data, RIS data, CIS data, REST-compliant data, RPC data, raw data, etc.). In at least one embodiment, two or more examples of reasoning using the application coordination system 3728 (e.g., scheduler) can be available. In at least one embodiment, the first category may include high priority/low latency paths, which may implement higher service level agreements, for example, for performing reasoning on emergency requests in case of emergency, or for radiologists during diagnostic procedures. In at least one embodiment, the second category may include standard priority paths that may be used in situations where requests may not be urgent or where analysis may be performed at a later time. In at least one embodiment, the application coordination system 3728 can allocate resources (e.g., services 3620 and/or hardware 3622) for different inference tasks of the AI service 3718 based on the priority paths.
In at least one embodiment, the shared memory may be installed to the AI service 3718 in the system 3700. In at least one embodiment, the shared memory may operate as a cache (or other storage device type) and may be used to process inference requests from applications. In at least one embodiment, when a reasoning request is submitted, a set of API instances of the deployment system 3606 can receive the request and one or more instances can be selected (e.g., for best fit, for load balancing, etc.) to process the request. In at least one embodiment, to process the request, the request may be entered into a database, the machine learning model may be located from model registry 3624 if not already in the cache, the validation step may ensure that the appropriate machine learning model is loaded into the cache (e.g., shared storage), and/or a copy of the model may be saved to the cache. In at least one embodiment, if the application is not already running or there are not enough instances of the application, a scheduler (e.g., of the pipeline manager 3712) may be used to launch the application referenced in the request. In at least one embodiment, the inference server can be launched if it has not already been launched to execute the model. In at least one embodiment, each model can launch any number of inference servers. In at least one embodiment, in a pull model that clusters inference servers, the model may be cached whenever load balancing is advantageous. In at least one embodiment, the inference server can be statically loaded into the corresponding distributed server.
In at least one embodiment, inference can be performed using an inference server running in a container. In at least one embodiment, an instance of the inference server can be associated with a model (and optionally with multiple versions of the model). In at least one embodiment, if an instance of the inference server does not exist at the time the request to perform inference on the model is received, a new instance may be loaded. In at least one embodiment, when the inference server is launched, the models can be passed to the inference server so that the same container can be used to serve different models as long as the inference server operates as a different instance.
In at least one embodiment, during application execution, inference requests for a given application can be received, and a container (e.g., an instance of a hosted inference server) can be loaded (if not already loaded), and a startup procedure can be invoked. In at least one embodiment, the pre-processing logic in the container may load, decode, and/or perform any additional pre-processing on the incoming data (e.g., using the CPU and/or GPU). In at least one embodiment, once the data is ready to be reasoned, the container can reasoned the data as needed. In at least one embodiment, this may include a single inference call for one image (e.g., hand X-ray) or may require an inference of hundreds of images (e.g., chest CT). In at least one embodiment, the application may summarize the results prior to completion, which may include, but is not limited to, a single confidence score, pixel-level segmentation, voxel-level segmentation, generating a visualization, or generating text to summarize the results. In at least one embodiment, different models or applications may be assigned different priorities. For example, some models may have real-time (TAT less than 1 minute) priority, while other models may have lower priority (e.g., TAT less than 10 minutes). In at least one embodiment, the model execution time can be measured from a requesting authority or entity, and can include the collaboration network traversal time as well as the execution time of the inference service.
In at least one embodiment, the transfer of requests between the services 3620 and the inference application can be hidden behind a Software Development Kit (SDK) and can provide robust transmission through queues. In at least one embodiment, the requests will be placed in a queue through the API for individual application/tenant ID combinations, and the SDK will pull the requests from the queue and provide the requests to the application. In at least one embodiment, the name of the queue may be provided in the context from which the SDK is to pick the queue. In at least one embodiment, asynchronous communication through a queue may be useful because it may allow any instance of an application to pick up work when it is available. In at least one embodiment, the results may be transferred back through the queue to ensure that no data is lost. In at least one embodiment, the queue may also provide the ability to split work because the highest priority work may enter the queue connected to most instances of the application, while the lowest priority work may enter the queue connected to a single instance, which processes tasks in the order received. In at least one embodiment, the application may run on a GPU-accelerated instance that is generated in the cloud 3726, and the inference service may perform inference on the GPU.
In at least one embodiment, the visualization service 3720 can be utilized to generate visualizations for viewing the application and/or deployment pipeline 3710 output. In at least one embodiment, the visualization service 3720 may utilize the GPU 3722 to generate visualizations. In at least one embodiment, the visualization service 3720 may implement rendering effects, such as ray tracing, to generate higher quality visualizations. In at least one embodiment, the visualization may include, but is not limited to, 2D image rendering, 3D volume reconstruction, 2D tomographic slices, virtual reality display, augmented reality display, and the like. In at least one embodiment, a virtualized environment can be used to generate a virtual interactive display or environment (e.g., a virtual environment) for interaction by a system user (e.g., a doctor, nurse, radiologist, etc.). In at least one embodiment, the visualization services 3720 may include internal visualizers, movies, and/or other rendering or image processing capabilities or functions (e.g., ray tracing, rasterization, internal optics, etc.).
In at least one embodiment, the hardware 3622 may include a GPU 3722, AI system 3724, cloud 3726, and/or any other hardware used to execute the training system 3604 and/or the deployment system 3606. In at least one embodiment, GPUs 3722 (e.g., TESLA and/or quaduro GPUs of NVIDIA) may include any number of GPUs that may be used to perform processing tasks for any feature or function of computing service 3716, AI service 3718, visualization service 3720, other services, and/or software 3618. For example, with respect to the AI service 3718, the gpu 3722 may be used to perform pre-processing on imaging data (or other data types used by the machine learning model), post-processing on the output of the machine learning model, and/or perform inference (e.g., to execute the machine learning model). In at least one embodiment, the GPU 3722 may be used by the cloud 3726, AI system 3724, and/or other components of the system 3700. In at least one embodiment, the cloud 3726 can include a platform for GPU optimization for deep learning tasks. In at least one embodiment, AI system 3724 can use a GPU, and can use one or more AI systems 3724 to execute cloud 3726 (or tasks that are at least part of deep learning or reasoning). Likewise, although hardware 3622 is illustrated as discrete components, this is not intended to be limiting and any component of hardware 3622 may be combined with or utilized by any other component of hardware 3622.
In at least one embodiment, the AI system 3724 can include a specially constructed computing system (e.g., supercomputer or HPC) configured for inference, deep learning, machine learning, and/or other artificial intelligence tasks. In at least one embodiment, the AI system 3724 (e.g., DGX for NVIDIA) can include software (e.g., a software stack) that can perform sub-GPU optimization using multiple GPUs 3722, in addition to CPU, RAM, memory, and/or other components, features, or functions. In at least one embodiment, one or more AI systems 3724 can be implemented in the cloud 3726 (e.g., in a data center) to perform some or all of the AI-based processing tasks of the system 3700.
In at least one embodiment, cloud 3726 may include a GPU-accelerated infrastructure (e.g., NGC of NVIDIA), which may provide a platform for GPU optimization for performing processing tasks of system 3700. In at least one embodiment, the cloud 3726 can include an AI system 3724 for performing one or more AI-based tasks of the system 3700 (e.g., as a hardware abstraction and scaling platform). In at least one embodiment, the cloud 3726 can be integrated with an application coordination system 3728 that utilizes multiple GPUs to enable seamless scaling and load balancing between and among applications and services 3620. In at least one embodiment, as described herein, the cloud 3726 may be responsible for executing at least some services 3620 of the system 3700, including computing services 3716, AI services 3718, and/or visualization services 3720. In at least one embodiment, the cloud 3726 may perform bulk-to-bulk reasoning (e.g., perform TENSOR RT for NVIDIA), provide accelerated parallel computing APIs and platforms 3730 (e.g., CUDA for NVIDIA), execute application coordination systems 3728 (e.g., kubbernetes), provide graphics rendering APIs and platforms (e.g., for ray tracing, 2D graphics, 3D graphics, and/or other rendering techniques to produce higher quality movie effects), and/or may provide other functionality for the system 3700.
In at least one embodiment, to protect the confidentiality of the patient (e.g., in the case of off-site use of patient data or records), the cloud 3726 can include a registry-such as a deep learning container registry. In at least one embodiment, the registry may store containers for instantiating applications that may perform pre-processing, post-processing, or other processing tasks on the patient data. In at least one embodiment, the cloud 3726 can receive data, including patient data as well as sensor data in containers, perform the requested processing only on sensor data in those containers, and then forward the resulting output and/or visualization to the appropriate parties and/or devices (e.g., local medical devices for visualization or diagnosis) without having to extract, store, or otherwise access the patient data. In at least one embodiment, confidentiality of patient data is preserved in accordance with HIPAA and/or other data specifications.
FIG. 38 includes an example illustration of a deployment pipeline 3710A for processing imaging data in accordance with at least one embodiment. In at least one embodiment, the system 3700, and in particular the deployment system 3606, can be utilized to customize, update, and/or integrate the deployment pipeline 3710A into one or more production environments. In at least one embodiment, the deployment pipeline 3710A of fig. 38 includes a non-limiting example of a deployment pipeline 3710A that may be customized by a particular user (or team of users) of a facility (e.g., hospital, clinic, laboratory, research environment, etc.). In at least one embodiment, to define the deployment line 3710A for the CT scanner 3802, a user may select one or more applications from, for example, a container registry, that perform particular functions or tasks related to the imaging data generated by the CT scanner 3802. In at least one embodiment, the application may be applied to the deployment pipeline 3710A as a container that may utilize the services 3620 and/or hardware 3622 of the system 3700. Further, the deployment pipeline 3710A may include additional processing tasks or applications that may be implemented to prepare data for use by the applications (e.g., the DICOM adapter 3702B and DICOM reader 3806 may be used in the deployment pipeline 3710A to prepare data for use by the CT reconstruction 3808, organ segmentation 3810, etc.). In at least one embodiment, the deployment conduit 3710A can be customized or selected for consistent deployment, one-time use, or another frequency or interval. In at least one embodiment, a user may wish to perform CT reconstruction 3808 and organ segmentation 3810 for several objects over a particular interval, and thus may deploy the pipeline 3710A over that period of time. In at least one embodiment, for each request from the system 3700, the user can select an application for which the user wants to perform processing on the data for the request. In at least one embodiment, the deployment pipeline 3710A can be adjusted at any interval, and this can be a seamless process due to the adaptability and scalability of the container structure within the system 3700.
In at least one embodiment, the deployment line 3710A of fig. 38 may include a CT scanner 3802 that generates imaging data of a patient or subject. In at least one embodiment, imaging data from the CT scanner 3802 may be stored on a PACS server 3804 associated with the facility housing the CT scanner 3802. In at least one embodiment, the PACS server 3804 may include software and/or hardware components that may interface directly with an imaging modality at a facility (e.g., CT scanner 3802). In at least one embodiment, the DICOM adapter 3702B may allow DICOM objects to be sent and received using the DICOM protocol. In at least one embodiment, the DICOM adapter 3702B may help prepare or configure DICOM data from the PACS server 3804 for use by the deployment pipeline 3710A. In at least one embodiment, once DICOM data is processed through the DICOM adapter 3702B, the pipeline manager 3712 may route the data to the deployment pipeline 3710A. In at least one embodiment, the DICOM reader 3806 may extract the image file and any associated metadata from DICOM data (e.g., raw sinogram data, as shown in visualization 3816A). In at least one embodiment, the extracted working files may be stored in a cache for faster processing by other applications in the deployment pipeline 3710A. In at least one embodiment, once the DICOM reader 3806 has completed fetching and/or storing data, a completion signal may be communicated to the pipeline manager 3712. In at least one embodiment, the pipeline manager 3712 may then launch or call one or more other applications or containers in the deployment pipeline 3710A.
In at least one embodiment, the CT reconstruction 3808 application and/or container may be performed once the data (e.g., raw sinogram data) is available for processing by the CT reconstruction 3808 application. In at least one embodiment, the CT reconstruction 3808 may read the raw sinogram data from a cache, reconstruct an image file from the raw sinogram data (e.g., as shown in the visualization 3816B), and store the resulting image file in the cache. In at least one embodiment, upon completion of the rebuild, a completion of the rebuild task may be signaled to the pipeline manager 3712. In at least one embodiment, once the reconstruction is complete, and the reconstructed image file may be stored in a cache (or other storage device), the organ segmentation 3810 application and/or container may be triggered by the pipeline manager 3712. In at least one embodiment, the organ segmentation 3810 application and/or container may read the image files from the cache, normalize or convert the image files into a format suitable for inference (e.g., convert the image files into an input resolution of a machine learning model), and run the inference against the normalized images. In at least one embodiment, to run reasoning on the normalized image, the organ segmentation 3810 application and/or container may rely on the service 3620, and the pipeline manager 3712 and/or application coordination system 3728 may facilitate use of the service 3620 by the organ segmentation 3810 application and/or container. In at least one embodiment, for example, organ segmentation 3810 applications and/or containers can utilize AI service 3718 to perform inference on the normalized images, and AI service 3718 can utilize hardware 3622 (e.g., AI system 3724) to perform AI service 3718. In at least one embodiment, the results of the inference can be a mask file (e.g., as shown in visualization 3816C) that can be stored in a cache (or other storage device).
In at least one embodiment, a signal may be generated for the pipeline manager 3712 once the application processing the DICOM data and/or data extracted from the DICOM data has completed processing. In at least one embodiment, the pipeline manager 3712 may then execute a DICOM writer 3812 to read the results from the cache (or other storage device), package the results into a DICOM format (e.g., as DICOM output 3814) for use by the user at the facility where the request was generated. In at least one embodiment, the DICOM export 3814 may then be transferred to the DICOM adapter 3702B to prepare the DICOM export 3814 for storage on the PACS server 3804 (e.g., for viewing by a DICOM viewer at the facility). In at least one embodiment, in response to requests for reconstruction and segmentation, visualizations 3816B and 3816C may be generated and made available to a user for diagnostic, research, and/or for other purposes.
Although shown as a continuous application in the deployment pipeline 3710A, the CT reconstruction 3808 and organ segmentation 3810 applications may be processed in parallel in at least one embodiment. In at least one embodiment, the applications may execute simultaneously, substantially simultaneously, or with some overlap, in the case where the applications have no dependencies on each other and data is available for each application (e.g., after the DICOM reader 3806 extracts the data). In at least one embodiment, where two or more applications require similar services 3620, the scheduler of system 3700 can be used to load balance and allocate computing or processing resources among the various applications. In at least one embodiment, in some embodiments, parallel computing platform 3730 may be used to perform parallel processing of applications to reduce the runtime of deployment pipeline 3710A to provide real-time results.
In at least one embodiment, and referring to fig. 39A-39B, the deployment system 3606 can be implemented as one or more virtual instruments to perform different functions such as image processing, segmentation, enhancement, artificial intelligence, visualization, and reasoning using imaging devices (e.g., CT scanners, X-ray machines, MRI machines, etc.), sequencing devices, genomics devices, and/or other device types. In at least one embodiment, the system 3700 can allow for the creation and provision of virtual instruments that can include a software defined deployment pipeline 3710, which software defined deployment pipeline 3710 can receive raw/unprocessed input data generated by one or more devices and output processed/reconstructed data. In at least one embodiment, the deployment pipeline 3710 (e.g., 3710A and 3710B) on behalf of the virtual instrument can implement intelligence into the pipeline, for example, by utilizing machine learning models, to provide containerization reasoning support to the system. In at least one embodiment, the virtual instrument may execute any number of containers, each container including an instance of an application. In at least one embodiment, the deployment pipeline 3710 representing the virtual instrument may be static (e.g., a container and/or application may be set), for example where real-time processing is required, while in other examples, a container and/or application for the virtual instrument may be selected (e.g., on a per-request basis) from an application or pool of resources (e.g., within a container registry).
In at least one embodiment, the system 3700 may be instantiated or executed within a facility as one or more virtual instruments in the facility, for example, deployed in a computing system adjacent to or otherwise in communication with a radiological machine, an imaging device, and/or another device type in the facility. However, in at least one embodiment, however, the local installation may be instantiated or performed within the computing system of the device itself (e.g., a computing system integrated into the imaging device), at a local data center (e.g., a local data center), and/or in a cloud environment (e.g., in the cloud 3726). In at least one embodiment, in some examples, deployment system 3606 operating as a virtual instrument may be instantiated by a supercomputer or other HPC system. In at least one embodiment, the internal installation may allow high bandwidth usage (e.g., through a higher throughput local communication interface such as RF over ethernet) for real-time processing. In at least one embodiment, real-time or near real-time processing may be particularly useful when the virtual instrument supports an ultrasound device or other imaging modality, where immediate visualization is expected or required for accurate diagnosis and analysis. In at least one embodiment, the cloud computing architecture may be able to dynamically burst to a cloud computing service provider or other computing cluster when local demand exceeds local capacity or capability. In at least one embodiment, the cloud architecture, when implemented, can be adapted for training a neural network or other machine learning model, as described herein with respect to training system 3604. In at least one embodiment, with an appropriate training pipeline, machine learning models can continually learn and improve as they process additional data from the devices that they support. In at least one embodiment, the virtual instrument can be continuously improved using additional data, new data, existing machine learning models, and/or new or updated machine learning models.
In at least one embodiment, the computing system can include some or all of the hardware 3622 described herein, and the hardware 3622 can be distributed in any of a number of ways, including within the device, as part of a computing device coupled to and located near the device, in a local data center of the facility, and/or in the cloud 3726. In at least one embodiment, because the deployment system 3606 and related applications or containers are created in software (e.g., as separate containerized instances of applications), the behavior, operation, and configuration of virtual instruments and the output generated by the virtual instruments can be modified or customized as needed without the need to change or alter the original output of the devices supported by the virtual instruments.
Fig. 39A includes an example data flow diagram of a virtual instrument supporting an ultrasound device in accordance with at least one embodiment. In at least one embodiment, the deployment pipeline 3710B can utilize one or more services 3620 of the system 3700. In at least one embodiment, the deployment pipeline 3710B and services 3620 can utilize the hardware 3622 of the system locally or in the cloud 3726. In at least one embodiment, although not shown, process 3900 can be facilitated by pipeline manager 3712, application coordination system 3728, and/or parallel computing platform 3730.
In at least one embodiment, the process 3900 can include receiving imaging data from an ultrasound device 3902. In at least one embodiment, the imaging data may be stored on a PACS server in DICOM format (or other format, e.g., RIS, CIS, REST-compatible, RPC, raw, etc.) and may be received by the system 3700 for processing by a deployment pipeline 3710, the deployment pipeline 3710 selected or customized as a virtual instrument (e.g., virtual ultrasound) of the ultrasound device 3902. In at least one embodiment, imaging data may be received directly from an imaging device (e.g., ultrasound device 3902) and processed by a virtual instrument. In at least one embodiment, a transducer or other signal converter communicatively coupled between the imaging device and the virtual instrument may convert signal data generated by the imaging device into image data that may be processed by the virtual instrument. In at least one embodiment, the raw data and/or image data may be applied to the DICOM reader 3806 to extract the data for use by an application or container deploying the pipeline 3710B. In at least one embodiment, the DICOM reader 3806 may utilize the data enhancement library 3914 (e.g., DALI of NVIDIA) as a service 3620 (e.g., as one of the computing services 3716) to extract, resize, rescale, and/or otherwise prepare data for use by an application or container.
In at least one embodiment, once the data is ready, a reconstruction 3906 application and/or container can be executed to reconstruct the data from the ultrasound device 3902 into an image file. In at least one embodiment, after the reconstruction 3906, or concurrently with the reconstruction 3906, detection 3908 applications and/or containers can be executed for anomaly detection, object detection, feature detection, and/or other detection tasks related to the data. In at least one embodiment, the image files generated during reconstruction 3906 may be used to identify anomalies, objects, features, etc. during detection 3908. In at least one embodiment, the detection 3908 application can perform inference on the data using inference engine 3916 (e.g., as one of AI services 3718) to generate the detection. In at least one embodiment, one or more machine learning models (e.g., from the training system 3604) can be executed or invoked by the detection 3908 application.
In at least one embodiment, once the reconstruction 3906 and/or the inspection 3908 are completed, data output from the applications and/or containers can be used to generate a visualization 3910, such as a visualization 3912 (e.g., a grayscale output) displayed on a workstation or display terminal. In at least one embodiment, visualization may allow a technician or other user to visualize the results of deployment line 3710B relative to ultrasound device 3902. In at least one embodiment, the visualization 3910 may be performed by utilizing a rendering component 3918 (e.g., one of the visualization services 3720) of the system 3700. In at least one embodiment, the rendering component 3918 may perform a 2D, openGL or ray tracing service to generate the visualization 3912.
Fig. 39B includes an example data flow diagram of a virtual instrument supporting a CT scanner in accordance with at least one embodiment. In at least one embodiment, the deployment pipeline 3710C may utilize one or more services 3620 of the system 3700. In at least one embodiment, the deployment pipeline 3710C and services 3620 can utilize the hardware 3622 of the system locally or in the cloud 3726. In at least one embodiment, although not shown, process 3980 may be facilitated by pipeline manager 3712, application coordination system 3728, and/or parallel computing platform 3730.
In at least one embodiment, the process 3920 may include the CT scanner 3922 generating raw data (e.g., directly, via the PACS server 3804, after processing, etc.) that may be received by the DICOM reader 3806. In at least one embodiment, the virtual CT (instantiated by deployment pipeline 3710C) may include a first real-time pipeline for monitoring a patient (e.g., patient motion detection AI 3926) and/or for adjusting or optimizing the exposure of CT scanner 3922 (e.g., using exposure control AI 3924). In at least one embodiment, one or more applications (e.g., 3924 and 3926) may utilize services 3620, such as AI services 3718. In at least one embodiment, the output of the exposure control AI 3924 application (or container) and/or the patient movement detection AI 3926 application (or container) may be used as feedback to the CT scanner 3922 and/or a technician to adjust the exposure (or other settings of the CT scanner 3922) and/or to inform the patient to reduce movement.
In at least one embodiment, the deployment pipeline 3710C may include a non-real time pipeline for analyzing data generated by the CT scanner 3922. In at least one embodiment, the second pipeline may include CT reconstruction 3808 applications and/or containers, coarse inspection AI 3928 applications and/or containers, fine inspection AI 3932 applications and/or containers (e.g., certain results detected by coarse inspection AI 3928), visualization 3930 applications and/or containers, and DICOM 3812 (and/or other data type writers, such as RIS, CIS, REST compatible, RPC, pristine, etc.) applications and/or containers. In at least one embodiment, the raw data generated by the CT scanner 3922 may pass through a pipeline (instantiated as a virtual CT instrument) of the deployment pipeline 3710C to generate results. In at least one embodiment, the results from the DICOM writer 3812 may be transmitted for display and/or may be stored on the PACS server 3804 for later retrieval, analysis, or display by a technician, practitioner, or other user.
In at least one embodiment, a single semiconductor platform may refer to a unique single semiconductor-based integrated circuit or chip. In at least one embodiment, a multi-chip module with increased connectivity can be used that simulates on-chip operations and is a substantial improvement over utilizing conventional central processing unit ("CPU") and bus implementations. In at least one embodiment, the various modules may also be placed separately or in various combinations of semiconductor platforms, depending on the needs of the user.
In at least one embodiment, referring back to fig. 13, computer programs in the form of machine-readable executable code or computer control logic algorithms are stored in main memory 1304 and/or secondary storage. According to at least one embodiment, the computer programs, if executed by one or more processors, enable system 1300 to perform various functions. In at least one embodiment, memory 1304, storage, and/or any other storage is a possible example of computer-readable media. In at least one embodiment, secondary storage may refer to any suitable storage device or system, such as a hard disk drive and/or a removable storage drive, representing a floppy disk drive, a magnetic tape drive, an optical disk drive, a digital versatile disk ("DVD") drive, a recording device, universal serial bus ("USB") flash memory, and so forth. In at least one embodiment, the architecture and/or functionality of the various previous figures is in CPU 1302; a parallel processing system 1312; an integrated circuit capable of having at least part of the capabilities of both CPUs 1302; a parallel processing system 1312; a chipset (e.g., a group of integrated circuits designed to operate and sold as a unit to perform a related function, etc.); and/or any suitable combination of integrated circuits.
In at least one embodiment, the architecture and/or functionality of the various previous figures is implemented in the context of a general purpose computer system, a circuit board system, a game console system dedicated for entertainment purposes, a dedicated system, or the like. In at least one embodiment, computer system 1300 may take the form of a desktop computer, laptop computer, tablet computer, server, supercomputer, smartphone (e.g., wireless, handheld device), personal digital assistant ("PDA"), digital camera, vehicle, head mounted display, handheld electronic device, mobile phone device, television, workstation, gaming console, embedded system, and/or any other type of logic.
In at least one embodiment, the parallel processing system 1312 includes, but is not limited to, a plurality of parallel processing units ("PPUs") 1314 and associated memory 1316. In at least one embodiment, PPU 1314 connects to host processors or other peripherals via interconnect 1318 and switch 1320 or multiplexers. In at least one embodiment, the parallel processing system 1312 distributes computing tasks across the parallelizable PPU 1314, e.g., as part of a distribution of computing tasks across multiple graphics processing unit ("GPU") thread blocks. In at least one embodiment, memory is shared and accessed (e.g., for read and/or write access) between some or all of the PPUs 1314, although such shared memory may incur performance penalties relative to using local memory and registers resident on the PPUs 1314. In at least one embodiment, the operations of the PPUs 1314 are synchronized by using commands, such as __ syncthroads (), where all threads in a block (e.g., executing across multiple PPUs 1314) reach some code execution point before proceeding.
Other variations are within the spirit of the present disclosure. Accordingly, while the disclosed technology is susceptible to various modifications and alternative constructions, certain illustrated embodiments thereof are shown in the drawings and have been described above in detail. It should be understood, however, that there is no intention to limit the disclosure to the specific form or forms disclosed, but on the contrary, the intention is to cover all modifications, alternative constructions, and equivalents falling within the spirit and scope of the disclosure as defined by the appended claims.
The use of the terms "a" and "an" and "the" and similar referents in the context of describing the disclosed embodiments (especially in the context of the following claims) are to be construed to cover both the singular and the plural, unless otherwise indicated herein or clearly contradicted by context. The terms "comprising," "having," "including," and "containing" are to be construed as open-ended terms (meaning "including, but not limited to,") unless otherwise noted. The term "connected" (where unmodified it refers to a physical connection) is to be construed as partially or fully contained, attached, or connected together, even if there is some intervening. Unless otherwise indicated herein, reference to a range of values herein is intended merely to be used as a shorthand method of referring individually to each separate value falling within the range, and each separate value is incorporated into the specification as if it were individually recited herein. In at least one embodiment, unless otherwise indicated or contradicted by context, use of the term "set" (e.g., "set of items") or "subset" should be interpreted as including a non-empty set of one or more members. Furthermore, unless otherwise indicated or contradicted by context, the term "subset" of a respective set does not necessarily denote an appropriate subset of the corresponding set, but rather the subset and the corresponding set may be equal.
Unless explicitly stated otherwise or clearly contradicted by context, conjunctions such as phrases in the form of "at least one of a, B, and C" or "at least one of a, B, and C" are understood in context to be used generically to refer to items, clauses, etc., which may be a or B or C, or any non-empty subset of the set of a and B and C. For example, in an illustrative example of a set having three members, the conjunctive phrases "at least one of a, B, and C" and "at least one of a, B, and C" refer to any of the following sets: { a }, { B }, { C }, { a, B }, { a, C }, { B, C }, { a, B, C }. Thus, such conjunctive language is not generally intended to imply that certain embodiments require the presence of at least one of A, at least one of B, and at least one of C. In addition, the term "plurality" means the state of a plurality (e.g., "a plurality of items" means a plurality of items) unless otherwise stated or contradicted by context. In at least one embodiment, the number of items in the plurality of items is at least two, but can be more if indicated explicitly or by context. Furthermore, the phrase "based on" means "based at least in part on" rather than "based only on" unless otherwise indicated herein or clear from the context.
The operations of processes described herein may be performed in any suitable order unless otherwise indicated herein or otherwise clearly contradicted by context. In at least one embodiment, processes such as those described herein (or variations and/or combinations thereof) are performed under control of one or more computer systems configured with executable instructions and are implemented as code (e.g., executable instructions, one or more computer programs, or one or more application programs) that is executed collectively on one or more processors by hardware or a combination thereof. In at least one embodiment, the code is stored on a computer-readable storage medium, for example, in the form of a computer program comprising a plurality of instructions executable by one or more processors. In at least one embodiment, the computer-readable storage medium is a non-transitory computer-readable storage medium that excludes transitory signals (e.g., propagated transient electrical or electromagnetic transmissions), but includes non-transitory data storage circuitry (e.g., buffers, caches, and queues). In at least one embodiment, code (e.g., executable code or source code) is stored on a set of one or more non-transitory computer-readable storage media (or other memory for storing executable instructions) that, when executed by one or more processors of a computer system (i.e., as a result of being executed), cause the computer system to perform the operations described herein. In at least one embodiment, a set of non-transitory computer-readable storage media includes a plurality of non-transitory computer-readable storage media, and one or more of the individual non-transitory computer-readable storage media of the plurality lacks all of the code, but the plurality of non-transitory computer-readable storage media collectively store all of the code. In at least one embodiment, the executable instructions are executed such that different instructions are executed by different processors, e.g., a non-transitory computer-readable storage medium stores instructions and a master central processing unit ("CPU") executes some instructions while a graphics processing unit ("GPU") executes other instructions. In at least one embodiment, different components of the computer system have separate processors, and different processors execute different subsets of instructions.
Thus, in at least one embodiment, a computer system is configured to implement one or more services that individually or collectively perform the operations of the processes described herein, and such computer system is configured with suitable hardware and/or software that enables the operations to be performed. Further, a computer system that implements at least one embodiment of the present disclosure is a single device, and in another embodiment is a distributed computer system that includes multiple devices that operate differently, such that the distributed computer system performs the operations described herein, and such that a single device does not perform all of the operations.
The use of any and all examples, or exemplary language (e.g., "such as") provided herein, is intended merely to better illuminate embodiments of the disclosure and does not pose a limitation on the scope of the disclosure unless otherwise claimed. No language in the specification should be construed as indicating any non-claimed element as essential to the practice of the disclosure.
All references, including publications, patent applications, and patents, cited herein are hereby incorporated by reference to the same extent as if each reference were individually and specifically indicated to be incorporated by reference and were set forth in its entirety herein.
In the description and claims, the terms "coupled" and "connected," along with their derivatives, may be used. It should be understood that these terms are not intended as synonyms for each other. Rather, in particular examples, "connected" or "coupled" may be used to indicate that two or more elements are in direct or indirect physical or electrical contact with each other. "coupled" may also mean that two or more elements are not in direct contact with each other, but yet still co-operate or interact with each other.
Unless specifically stated otherwise, it may be appreciated that throughout the description, terms such as "processing," "computing," "calculating," "determining," or the like, refer to the action and/or processes of a computer or computing system, or similar electronic computing device, that manipulates and/or transforms data represented as physical quantities (e.g., electronic) within the computing system's registers and/or memories into other data similarly represented as physical quantities within the computing system's memories, registers or other such information storage, transmission or display devices.
In a similar manner, the term "processor" may refer to any device or portion of memory that processes electronic data from registers and/or memory and converts that electronic data into other electronic data that may be stored in registers and/or memory. As non-limiting examples, a "processor" may be a CPU or GPU. A "computing platform" may include one or more processors. As used herein, a "software" process may include, for example, software and/or hardware entities that perform work over time, such as tasks, threads, and intelligent agents. Also, each process may refer to a plurality of processes to execute instructions sequentially or in parallel continuously or intermittently. In at least one embodiment, the terms "system" and "method" may be used interchangeably herein, as long as the system may embody one or more methods, and the methods may be considered a system.
In this document, reference may be made to obtaining, receiving, or entering analog or digital data into a subsystem, computer system, or computer-implemented machine. In at least one embodiment, the process of obtaining, receiving, or inputting analog and digital data may be accomplished in a number of ways, such as by receiving the data as parameters of a function call or a call to an application programming interface. In at least one embodiment, the process of obtaining, receiving, or inputting analog or digital data may be accomplished by transmitting the data via a serial or parallel interface. In at least one embodiment, the process of obtaining, acquiring, receiving, or inputting analog or digital data may be accomplished by transmitting the data from the providing entity to the acquiring entity via a computer network. In at least one embodiment, reference may also be made to providing, outputting, transmitting, sending, or presenting analog or digital data. In various examples, the process of providing, outputting, transferring, sending, or rendering analog or digital data may be accomplished by transferring the data as input or output parameters of a function call, parameters of an application programming interface, or an interprocess communication mechanism.
While the description herein sets forth example implementations of the described techniques, other architectures can be used for implementing the described functionality, and are intended to fall within the scope of the present disclosure. Further, although specific allocation of duties is defined above for purposes of description, the various functions and duties may be allocated and divided in different manners depending on the situation.
Furthermore, although the subject matter has been described in language specific to structural features and/or methodological acts, it is to be understood that the claimed subject matter may not necessarily be limited to the specific features or acts described. Rather, the specific features and acts are disclosed as example forms of implementing the claims.

Claims (38)

1. A processor, comprising: one or more circuits to interpolate one or more intermediate images from two or more images based at least in part on one or more inconsistent flow vectors corresponding to the two or more images.
2. The processor of claim 1, wherein the one or more circuits are configured to:
determining the one or more inconsistent flow vectors based on a comparison of forward optical flow data from a first image to a second image and reverse optical flow data from the second image to the first image in the two or more images.
3. The processor of claim 1, wherein the one or more circuits are further to determine candidate pixels associated with coordinates of the one or more inconsistent flow vectors.
4. The processor of claim 1, wherein the one or more circuits are further configured to generate a gradient map for a first image of the two or more images.
5. The processor of claim 1, wherein the one or more circuits are further configured to identify a plurality of superpixels starting from coordinates of a candidate pixel associated with the inconsistent flow vector using a gradient map and intensity information from a first image of the two or more images.
6. The processor of claim 1, wherein the one or more circuits are further configured to group edge coordinates determined from the edge detection operation with adjacent edge coordinates using a first criterion and group non-edge coordinates with adjacent non-edge coordinates using a second criterion.
7. The processor of claim 1, wherein the one or more circuits are further configured to identify a plurality of superpixels based on downsampled versions of the two or more images.
8. The processor of claim 1, wherein the one or more circuits are further configured to traverse neighboring pixels of a candidate pixel using a neighboring coordinate array and at least one of a first buffer or a second buffer, wherein the first buffer includes a first value, wherein a bit corresponding to the first value indicates a current level coordinate using the neighboring coordinate array, and wherein the second buffer includes a second value, wherein a bit corresponding to the second value indicates a next level coordinate using the neighboring coordinate array.
9. The processor of claim 1, wherein the one or more circuits are further configured to interpolate one or more intermediate images from two or more images using a plurality of superpixels of the two or more images.
10. A system, comprising:
a memory; and
one or more circuits configured to:
one or more superpixels are generated for one or more images based, at least in part, on one or more inconsistent flow vectors or one or more invalid pixels corresponding to the one or more images.
11. The system of claim 10, wherein the one or more circuits are configured to determine the one or more inconsistent flow vectors based on a comparison of forward optical flow data from a first image to a second image and backward optical flow data from the second image to the first image in the one or more images.
12. The system of claim 10, wherein the one or more circuits are further to determine candidate pixels associated with coordinates of the one or more inconsistent flow vectors.
13. The system of claim 10, wherein the one or more circuits are further configured to generate a gradient map for a first image of the one or more images.
14. The system of claim 10, wherein the one or more circuits are further configured to identify the one or more superpixels starting from coordinates of candidate pixels associated with the inconsistent flow vectors using a gradient map and intensity information from a first image of the one or more images.
15. The system of claim 10, wherein the one or more circuits are further configured to perform stream or image stuffing on the one or more images using the one or more superpixels.
16. The system of claim 10, wherein the one or more circuits are further configured to identify the one or more superpixels based on a downsampled version of the one or more images.
17. The system of claim 10, wherein the one or more circuits are further configured to traverse neighboring pixels of a candidate pixel using a neighboring coordinate array and at least one of a first buffer or a second buffer, wherein the first buffer includes a first value, wherein a bit corresponding to the first value indicates a current level coordinate using the neighboring coordinate array, and wherein the second buffer includes a second value, wherein a bit corresponding to the second value indicates a next level coordinate using the neighboring coordinate array.
18. The system of claim 10, wherein the one or more circuits are further configured to interpolate one or more intermediate images from the one or more images using the one or more superpixels of the one or more images.
19. A method, comprising:
interpolating one or more intermediate images from two or more images based at least in part on one or more inconsistent flow vectors corresponding to the two or more images.
20. The method of claim 19, further comprising:
determining the one or more inconsistent flow vectors based on a comparison of forward optical flow data from a first image to a second image and backward optical flow data from the second image to the first image of the two or more images.
21. The method of claim 19, further comprising: candidate pixels associated with the coordinates of the one or more inconsistent flow vectors are determined.
22. The method of claim 19, further comprising: a gradient map is generated for a first image of the two or more images.
23. The method of claim 19, further comprising: identifying a plurality of superpixels starting from coordinates of candidate pixels associated with the inconsistent flow vector using a gradient map and intensity information from a first image of the two or more images.
24. The method of claim 19, further comprising: edge coordinates determined from the edge detection operation are grouped with adjacent edge coordinates using a first criterion, and non-edge coordinates are grouped with adjacent non-edge coordinates using a second criterion.
25. The method of claim 19, further comprising: identifying a plurality of superpixels based on the downsampled versions of the two or more images.
26. The method of claim 19, further comprising: traversing neighboring pixels of a candidate pixel using at least one of a first buffer or a second buffer and a neighboring coordinate array, wherein the first buffer includes a first value, wherein a bit corresponding to the first value indicates a current level coordinate using the neighboring coordinate array, wherein the second buffer includes a second value, wherein a bit corresponding to the second value indicates a next level coordinate using the neighboring coordinate array.
27. The method of claim 19, further comprising: traversing neighboring pixels of a candidate pixel using a second buffer and a neighboring coordinate array, wherein the second buffer includes a second value, wherein a bit corresponding to the second value indicates a next level coordinate using the neighboring coordinate array.
28. The method of claim 19, further comprising: interpolating one or more intermediate images from two or more images using a plurality of superpixels of the two or more images.
29. A processor comprising one or more circuits to:
Training one or more neural networks to perform a machine vision task using a training data set comprising one or more corrected optical-flow vectors between two or more images, the one or more optical-flow vectors having been corrected using superpixels determined based on inconsistent flow vectors between the two or more images.
30. The processor of claim 29, wherein the one or more circuits are further to determine the one or more inconsistent flow vectors based on a comparison of forward optical flow data from a first image to a second image and reverse optical flow data from the second image to the first image of the two or more images.
31. The processor of claim 29, wherein the one or more circuits are further to determine candidate pixels associated with coordinates of the one or more inconsistent flow vectors.
32. The processor of claim 29, wherein the one or more circuits are further configured to identify the superpixel starting from coordinates of a candidate pixel associated with the inconsistent flow vector using a gradient map and intensity information from a first image of the two or more images.
33. The processor of claim 29, wherein the one or more circuits are further configured to group edge coordinates determined from the edge detection operation with adjacent edge coordinates using a first criterion and group non-edge coordinates with adjacent non-edge coordinates using a second criterion.
34. The processor of claim 29, wherein the one or more circuits are further configured to traverse neighboring pixels of a candidate pixel using a neighboring coordinate array and at least one of a first buffer or a second buffer, wherein the first buffer includes a first value, wherein a bit corresponding to the first value indicates a current level coordinate using the neighboring coordinate array, and the second buffer includes a second value, wherein a bit corresponding to the second value indicates a next level coordinate using the neighboring coordinate array.
35. A processor comprising one or more circuits to: processing an input comprising one or more corrected optical flow vectors between two or more images, which have been corrected using superpixels determined based on inconsistent flow vectors between the two or more images, using one or more trained neural networks to perform a machine vision task.
36. The processor of claim 35, wherein the one or more circuits are further to determine the one or more inconsistent flow vectors based on a comparison of forward optical flow data from a first image to a second image and reverse optical flow data from the second image to the first image of the two or more images.
37. The processor of claim 35, wherein the one or more circuits are further configured to identify the superpixel starting from coordinates of a candidate pixel associated with the inconsistent flow vector using a gradient map and intensity information from a first image of the two or more images.
38. The processor of claim 35, wherein the one or more circuits are further configured to traverse neighboring pixels of a candidate pixel using a neighboring coordinate array and at least one of a first buffer or a second buffer, wherein the first buffer includes a first value, wherein a bit corresponding to the first value indicates a current level coordinate using the neighboring coordinate array, wherein the second buffer includes a second value, wherein a bit corresponding to the second value indicates a next level coordinate using the neighboring coordinate array.
CN202210317779.4A 2021-04-05 2022-03-28 Super-pixel generation and use Pending CN115204353A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/222,779 2021-04-05
US17/222,779 US20220329847A1 (en) 2021-04-05 2021-04-05 Superpixel generation and use

Publications (1)

Publication Number Publication Date
CN115204353A true CN115204353A (en) 2022-10-18

Family

ID=81581456

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202210317779.4A Pending CN115204353A (en) 2021-04-05 2022-03-28 Super-pixel generation and use

Country Status (4)

Country Link
US (1) US20220329847A1 (en)
CN (1) CN115204353A (en)
DE (1) DE102022108019A1 (en)
GB (1) GB2607689A (en)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11922314B1 (en) * 2018-11-30 2024-03-05 Ansys, Inc. Systems and methods for building dynamic reduced order physical models
SE544261C2 (en) 2020-06-16 2022-03-15 IntuiCell AB A computer-implemented or hardware-implemented method of entity identification, a computer program product and an apparatus for entity identification
WO2023214915A1 (en) * 2022-05-06 2023-11-09 IntuiCell AB A data processing system for processing pixel data to be indicative of contrast.
CN116797598B (en) * 2023-08-22 2023-11-17 山东万牧农业科技有限公司郯城分公司 Image feature-based cultivation feed quality refinement detection method
CN117853986B (en) * 2024-03-06 2024-05-28 西安众望能源科技有限公司 Video monitoring system and method based on oil well equipment

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2762791B2 (en) * 1991-09-05 1998-06-04 松下電器産業株式会社 Scan line interpolator
AU2003265075A1 (en) * 2002-10-22 2004-05-13 Koninklijke Philips Electronics N.V. Image processing unit with fall-back
KR101536794B1 (en) * 2007-12-20 2015-07-14 퀄컴 인코포레이티드 Image interpolation with halo reduction
DE102009026981A1 (en) * 2009-06-16 2010-12-30 Trident Microsystems (Far East) Ltd. Determination of a vector field for an intermediate image
US9094561B1 (en) * 2010-12-16 2015-07-28 Pixelworks, Inc. Frame interpolation and motion vector reconstruction
WO2013178725A1 (en) * 2012-05-31 2013-12-05 Thomson Licensing Segmentation of a foreground object in a 3d scene
US20180144476A1 (en) * 2016-11-23 2018-05-24 Qualcomm Incorporated Cascaded-time-scale background modeling
US10621446B2 (en) * 2016-12-22 2020-04-14 Texas Instruments Incorporated Handling perspective magnification in optical flow processing
GB2565775A (en) * 2017-08-21 2019-02-27 Nokia Technologies Oy A Method, an apparatus and a computer program product for object detection
US10776688B2 (en) * 2017-11-06 2020-09-15 Nvidia Corporation Multi-frame video interpolation using optical flow
JP6889843B2 (en) * 2018-06-13 2021-06-18 株式会社Jvcケンウッド Video signal detector
US11983846B2 (en) * 2020-05-13 2024-05-14 Qualcomm Incorporated Machine learning based image adjustment
US11625839B2 (en) * 2020-05-18 2023-04-11 Toyota Research Institute, Inc. Bird's eye view based velocity estimation via self-supervised learning

Also Published As

Publication number Publication date
DE102022108019A1 (en) 2022-10-06
GB2607689A (en) 2022-12-14
GB202204964D0 (en) 2022-05-18
US20220329847A1 (en) 2022-10-13

Similar Documents

Publication Publication Date Title
CN113269299A (en) Robot control using deep learning
CN113673669A (en) Encoding content-aware patterns using neural networks
CN114202005A (en) Object image completion
CN113379819A (en) Techniques for extending images using neural networks
CN114981779A (en) Dynamic load balancing for real-time deep learning analysis operations
CN115136203A (en) Generating labels for composite images using one or more neural networks
CN114600113A (en) Selecting annotations for training images using neural networks
CN114596250A (en) Object detection and collision avoidance using neural networks
CN115600663A (en) Training target detection system with generated images
CN114730373A (en) API for recurrent neural networks
CN114331929A (en) Fourier transform-based image synthesis using neural networks
CN115004197A (en) Image tag generation using neural networks and annotated images
CN115053264A (en) Tagging images using neural networks
CN113538575A (en) Distance determination using one or more neural networks
CN115023737A (en) Image generation using attribute awareness for neural networks
CN115136147A (en) Accelerated training for neural network models
CN114556941A (en) Video compression and decompression using neural networks
US20220329847A1 (en) Superpixel generation and use
CN114868135A (en) Hybrid quantization of neural networks for edge computing applications
CN115271061A (en) Dynamic weight update for neural networks
CN114970852A (en) Generating frames of neural simulations using one or more neural networks
CN114611658A (en) Neural network scheduler
CN115237551A (en) Techniques for parallel execution
CN114118399A (en) Techniques for pruning neural networks
CN115238851A (en) Kernel generation for neural networks

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination