CN115151680A - 背侧反应性抑制气体 - Google Patents

背侧反应性抑制气体 Download PDF

Info

Publication number
CN115151680A
CN115151680A CN202180016023.XA CN202180016023A CN115151680A CN 115151680 A CN115151680 A CN 115151680A CN 202180016023 A CN202180016023 A CN 202180016023A CN 115151680 A CN115151680 A CN 115151680A
Authority
CN
China
Prior art keywords
gas
suppression
substrate
flowing
backside
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180016023.XA
Other languages
English (en)
Inventor
刘刚
阿南德·查德拉什卡
杨宗翰
迈克尔·鲍斯
伦纳德·韦·丰·许
埃里克·H·伦茨
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN115151680A publication Critical patent/CN115151680A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45597Reactive back side gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0209Pretreatment of the material to be coated by heating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本文提供了在半导体晶片的边缘区域处控制处理均匀性的方法及装置。在一些实施方案中,所述方法包括提供背侧抑制气体而作为沉积‑抑制‑沉积(DID)序列的一部分。

Description

背侧反应性抑制气体
通过引用并入
PCT申请表作为本申请的一部分与本说明书同时提交。如在同时提交的PCT申请表中所标识的本申请要求享有其权益或优先权的每个申请均通过引用全文并入本文且用于所有目的。
背景技术
在半导体处理中的一种挑战是在整个半导体晶片的大面积上实现均匀性。晶片的边缘区域处的不连续性可能会使均匀处理更加困难。
这里提供的背景描述是为了总体呈现本公开的背景的目的。当前指定的发明人的工作在其在此背景技术部分以及在提交申请时不能确定为现有技术的说明书的各方面中描述的范围内既不明确也不暗示地承认是针对本公开的现有技术。
发明内容
本文提供在半导体晶片的边缘区域处控制处理均匀性的方法及装置。在一些实施方案中,所述方法包括提供背侧抑制气体而作为沉积-抑制-沉积(DID)序列的一部分。
本公开的一方面涉及一种方法,其包括:提供衬底,所述衬底具有沉积在所述衬底表面中的特征中的金属,所述衬底具有前侧、背侧和边缘;以及在已沉积的所述金属上执行非等离子体抑制处理,所述非等离子体抑制处理包括使来自气体入口的抑制气体在所述衬底的所述前侧上流动、以及使来自所述衬底的所述背侧的所述抑制气体在所述衬底的所述边缘附近流动。在一些实施方案中,所述非等离子体抑制处理还包括使来自气体入口的金属前体在所述衬底的所述前侧上流动。在一些实施方案中,没有金属前体从所述衬底的所述背侧流动。在一些实施方案中,使来自所述气体入口的所述抑制气体在所述衬底的所述前侧上流动与使来自所述衬底的所述背侧的所述抑制气体流动同时或部分重叠地执行。在一些实施方案中,使来自所述气体入口的所述抑制气体在所述衬底的所述前侧上流动与使来自所述衬底的所述背侧的所述抑制气体流动是交替的。在一些这样的实施方案中,所述非等离子体抑制处理包括退火阶段,所述退火阶段介于使来自所述气体入口的所述抑制气体在所述衬底的所述前侧上流动与使来自所述衬底的所述背侧的所述抑制气体流动之间。
在一些实施方案中,所述金属是钨(W)、钼(Mo)、钴(Co)和钌(Ru)中的一者。在一些实施方案中,所述抑制气体为含氮的。在一些实施方案中,所述抑制气体为氨(NH3)或肼(N2H4)。
在一些实施方案中,所述方法还包括在所述非等离子体抑制处理后,将所述特征暴露于金属前体和还原剂,以在所述特征中沉积金属,其中在所述被抑制的表面上的所述金属的沉积是延迟的。在一些这样的实施方案中,所述非等离子体抑制处理和所述沉积操作是在多站室的不同站中执行。
在一些实施方案中,提供所述衬底包括在所述特征中沉积金属,所述衬底具有沉积在所述衬底表面中的所述特征中的所述金属。
在一些实施方案中,使来自所述衬底的所述背侧的所述抑制气体在所述衬底的所述边缘附近流动包括使所述抑制气体从所述衬底的所述背侧流动至排除环下方的容积中。
本公开的另一方面涉及一种装置,其包括:多站室,各站包括基座,所述基座包括顶表面以及位于所述顶表面中的环状凹部,所述环状凹部被配置成流体连接至背侧气体源;排除环,其安装于所述基座上;以及喷头,其设置在所述基座上方并被配置成流体连接至前侧气体源;以及包括指令的控制器,所述指令用于:使金属前体和还原剂流动通过第一站的所述喷头,其中所述第一站容纳衬底以在所述衬底上沉积金属膜;将所述衬底转移至第二站,并且使金属前体和抑制气体流动通过所述喷头,并且使来自所述背侧气体源的所述抑制气体流动通过所述环状凹部;以及将所述衬底转移至第三站,并且使金属前体和还原剂流动通过所述喷头,以在所述衬底上沉积金属膜。
在一些实施方案中,所述控制器包括指令,所述指令用于使所述抑制气体流动通过所述环状凹部,而不使金属前体流动通过所述环状凹部。在一些实施方案中,所述控制器包括指令,所述指令用于使来自所述喷头的所述抑制气体流动与使所述抑制气体流动通过所述环状凹部同时或部分重叠进行。在一些实施方案中,所述控制器包括指令,所述指令用于使来自所述喷头的所述抑制气体流动与使所述抑制气体流动通过所述环状凹部是交替的。
本公开的又一方面涉及一种装置,其包括:室,其包括基座,所述基座包括顶表面以及位于所述顶表面中的环状凹部,所述环状凹部被配置成流体连接至背侧气体源;排除环,其安装于所述基座上;以及喷头,其设置在所述基座上方并被配置成流体连接至前侧气体源;以及包括指令的控制器,所述指令用于:使金属前体与抑制气体流动通过所述喷头,以及使所述抑制气体流动通过所述环状凹部,但不使金属前体流动通过所述环状凹部。
在一些实施方案中,所述控制器包括指令,所述指令用于使所述抑制气体流过所述环状凹部,而不使金属前体流过所述环状凹部。在一些实施方案中,所述控制器包括指令,所述指令用于使来自所述喷头的所述抑制气体流动与使所述抑制气体流动通过所述环状凹部同时或部分重叠进行。在一些实施方案中,所述控制器包括指令,所述指令用于使来自所述喷头的所述抑制气体流动与使所述抑制气体流动通过所述环状凹部是交替的。
下文参考附图描述这些方面和其他方面。
附图说明
图1描绘了可在本公开的实现方案中使用以填充特征的沉积-抑制-沉积(DID)处理。
图2A描绘了可在本公开的实现方案中使用、且安装在晶片支撑件上的排除环的示例的等角视图。
图2B显示了可在本公开的实现方案中使用的排除环、晶片以及晶片支撑件的示例的等角分解图。
图2C和2D分别显示了可在本公开的实现方案中使用的排除环的示例的仰视图和俯视图。
图2E显示了可以在本公开的实现方案中使用的排除环和晶片的放大横截面详细图。
图3A显示了可在本公开的实现方案中使用的包括背侧气体注入及排除环的示例性基座配置的示意图。
图3B显示了在使用包括背侧气体注入和排除环的示例性基座配置进行沉积期间,处理气体和背侧气体流线的示意图。
图3C至3E是根据本公开的实现方案而显示在根据抑制钨成核的方法的一示例的抑制处理期间排除环和晶片的示意性配置的示例。
图3F显示了可以在本公开的实现方案中使用的具有安装在衬底支撑件上的两个环的示例性排除环组件。
图3G和3H显示了可以在本公开的实现方案中使用的具有两个环的排除环组件,在不同喷头-上部环间隙的情况下的处理气体流线的示意图。
图4A和4B为处理流程图,其显示了根据本公开的实现方案的抑制成核的方法示例中的某些操作。
图5为处理流程图,其显示了根据本公开的实现方案的沉积方法示例中的某些操作。
图6A和6B显示了在具有和不具有背侧反应性抑制气体的情况下,进行抑制和沉积-抑制-沉积处理过后所测量的钨厚度的图。
图7是一图表,其显示了在具有和不具有背侧反应性抑制气体的情况下,进行沉积-抑制-沉积处理后在整个晶片上所测量的钨厚度。
图8为根据本公开的实现方案而适合用于沉积和抑制处理的处理室的示例的示意图。
图9为根据本公开的实现方案而适合用于沉积及抑制处理的处理装置的示例的示意图。
具体实施方式
各种实施方案的示例在附图中描绘,并在下文进一步描述。应理解的是,本文中的讨论并不意在将权利要求限制在所描述的特定实施方案。反而,其意旨涵盖那些可被包括在本公开及随附权利要求范围内的精神和范围内的替代方案、修正方案和等同方案。在下面的描述中,阐述了许多特定细节以提供对所公开主题的透彻理解。各种主题的实现方案可在不具这些特定细节的一些或全部的情况下实行。在其他情况下,并未详细描述公知的处理操作,以免不必要地使本文所述的主题难以理解。
本文提供了控制在半导体晶片的边缘区域处的处理均匀度的方法。在一些实施方案中,该方法包括提供背侧抑制气体。在一些实施方案中,可将背侧抑制气体提供作为沉积-抑制-沉积(DID)序列的一部分。还提供相关的装置。
DID序列可用于以金属、电介质或其他材料来填充特征。图1显示了可根据各种实施方案而实行的DID处理的示例。首先,在100处,显示了处于预填充阶段的未填充特征102。特征102可形成在半导体衬底上的一或更多层中。可利用一或更多材料薄膜作为特征的侧壁和/或底部的衬垫。在110处,显示了在填充材料的初始沉积(沉积1)后的特征102。所述初始沉积形成材料层104。
如上文所述,所述填充材料可以是导电材料、介电材料、或其他材料。在一些实施方案中,填充材料是金属。金属的示例包括钨(W)、钴(Co)、钼(Mo)、钌(Ru)和钛(Ti)。在一些实施方案中,填充材料是例如钛氮化物(TiN)之类的金属化合物膜。介电材料的示例包括氧化物、氮化物、及碳化物。氧化物的示例包括二氧化硅(SiO2)和氧化铝(Al2O3)。氮化物的示例包括硅氮化物(SiN)。碳化物的示例包括硅碳化物(SiC)。
所述初始沉积可通过任何合适的处理执行,上述处理包括原子层沉积(ALD)或化学气相沉积(CVD)处理。在一些实施方案中,可使用例如溅射之类的物理气相沉积(PVD)处理。
在ALD处理中,将特征暴露于多种反应物气体的交替脉冲。在沉积钨的示例中,可使用含钨前体,如六氟化钨(WF6)、六氯化钨(WCl6)、五氯化钨(WCl5)、六羰基钨(W(CO)6)、或含钨有机金属化合物。在一些实施方案中,含钨前体的脉冲是与例如氢(H2)、乙硼烷(B2H6)、硅烷(SiH4)、或锗烷(GeH4)之类的还原剂进行脉冲。在CVD方法中,将晶片同时暴露于多种反应物气体。其他膜所用的示例性沉积化学品在下文提供。
在120处,显示了在抑制处理后的特征102。所述抑制处理是抑制后续沉积的表面处理。经处理的表面106显示于特征102的顶部处。在图1的示例中,所述处理部分地延伸至特征102中而到达抑制深度。
抑制机制取决于待处理的表面以及抑制化学品。在本文所述的方法中,所述抑制一般来讲是热的非等离子体处理。在一示例中,通过将表面暴露于含氮化学品而抑制钨成核。在热的非等离子体处理的示例中,这会涉及暴露于氨蒸气。
在一些实施方案中,抑制可涉及在抑制物质与特征表面之间形成化合物材料薄层的化学反应。举例而言,含氮抑制物质可以与钨反应以形成钨氮化物(WN)薄层。在另一示例中,含碳抑制物质可以与钨反应以形成钨碳化物(WC)薄层。在一些实施方案中,抑制会涉及将表面钝化而不形成化合物材料层的表面效应。举例而言,含氮抑制物质可吸附在钨膜上。本文所述的方法并不依赖于造成抑制行为的物理机制的详细或完整理解。
抑制处理的特征在于抑制深度以及抑制梯度。即,抑制可随着深度而改变,使得在特征开口处的抑制大于特征的底部处的抑制,并且可仅部分地延伸至特征中。在其他实施方案中,抑制处理可延伸至特征的完整深度。在图1的示例中,抑制深度约为特征的完整深度的一半。另外,如由在特征内较深处的虚线所描绘的,图1的示例中的抑制处理在特征的顶部处较强。在其他实施方案中,在特征内与特征的顶部处的抑制处理可以是相同的。
在130处,显示后续的沉积(沉积2)。由于特征102的开口附近的沉积被抑制,因此材料会优先沉积在特征的底部处。所述材料在特征开口附近并不沉积、或是沉积较少范围。这可避免在经填充的特征内形成空隙和接缝。在一些实施方案中,沉积2期间的填充是从下至上的。这与沉积1中对特征进行保形涂覆的沉积形成对比。随着沉积持续进行,可去除抑制效应,使得经轻微处理的表面上的沉积不再受到抑制。该效应可参见130处进行了描绘,其中经处理的表面106比起沉积2阶段之前较不广泛。在图1的示例中,随着沉积2的进行,最终在所有表面上均克服所述抑制。如140处所显示,特征完全由材料104填充。
虽然图1中的DID处理显示在特征的顶部处优先地对该特征进行抑制,然而在一些实施方案中,可对整个特征进行抑制。这种处理对于例如防止线弯曲会是有用的。
在DID处理期间,对于衬底的边缘区域的管理在直至衬底边缘均得到均匀膜方面提出了挑战。在沉积操作中,可通过流动例如氩(Ar)的背侧惰性气体来解决此问题;所述背侧惰性气体可用于调整边缘处的气体沉积。然而,背侧惰性气体可能不足以解决抑制期间在衬底边缘处缺乏均匀性的问题。因此,减小对衬底边缘附近、或衬底边缘处的抑制,从而形成整体较厚的膜。
在本文所述的方法中,抑制处理包括使来自衬底的背侧的抑制气体流动。这允许对抑制进行调整,从而形成中央至边缘的均匀性。虽然上方说明DID处理,但所述方法可以在采用抑制操作的任何序列中使用。
可以在半导体处理期间使用排除环(exclusion ring)来执行本文中的方法实现方案。安装在晶片支撑件上的排除环(也称为最小程度排除环、或MOER)可用于管理沿着晶片边缘的气体流量及处理环境。可在本文所述的方法实现方案中使用的排除环示例参照图2A至2E而在下文描述。
在图2A中,描绘了在晶片支撑件中所安装的排除环的等角视图。排除环200可用于管理沿着晶片201的边缘的气体流量和处理环境,所述晶片201可由晶片支撑件203所支撑。图2B显示了排除环200、晶片201、以及晶片支撑件203的分解等角视图。
图2C和2D分别显示了排除环的仰视图和俯视图。可广义地将排除环200描述为具有内直径220和外直径222的薄的环状环。在一些实现方案中,排除环200可包括多个突出部204,这些突出部在径向方向中从环状环202的外周缘突出。排除环200的顶表面206和底表面208可基本上与参考面平行,其中该参考面垂直于环状环的中心轴。关于排除环的术语“顶部”和“底部”是指当将所述排除环用于半导体处理环境中时,呈现为“顶部”和“底部”的排除环表面。可存在顶表面206和底表面208的不平行于参考面的部分。举例而言,排除环200的底表面208的特征可在于凹部,其中该凹部允许将排除环200设置在半导体晶片上方,而不停靠在该半导体晶片上。排除环200的内直径220可能会较小于半导体晶片的标称直径,当使用于本文所述的方法中时,半导体晶片与排除环200之间可能存在一定量的径向重叠,例如介于0.05”与0.5”之间的重叠。可将凹部容纳于大于半导体晶片的标称直径的中间直径内。底表面208过渡至凹部的部分可以是倾斜的。过渡部分可呈现受限区域,其中底表面并未平行于参考面。然而,作为整体,顶表面206和底表面208可基本上平行于参考面,使得这些表面的大部分径向距离平行于参考面。顶表面206和底表面208可彼此偏移一定距离,该距离大于半导体晶片的标称厚度。
排除环200的顶表面206可以包括倾斜部分。图2E显示了晶片201和排除环200的边缘的放大横截面细节图的示例。如图所示,顶表面206包括倾斜部分211。晶片201被设置在排除环200的凹部209中,以将晶片的边缘205直接设置在排除环200下方。晶片201的边缘205是从晶片的水平顶表面倾斜的晶边。在一些实施方案中,这可助于避免材料沉积在晶边上。举例而言,在沉积例如钨的相对厚(例如,
Figure BDA0003808888670000081
)膜之后,可执行化学机械平整化(CMP)处理以将该膜平整化。避免晶边上的沉积是有用的,原因在于晶边上的沉积并不会通过平整化移除。
在沉积例如钨之类的材料中,可以将排除环用于调整晶片边缘处的沉积。图3A显示了晶片支撑件303的示意图,其中晶片支撑件303包括排除环300。晶片支撑件303中的环状凹部344允许气体从背侧注入。举例而言,可使例如氩(Ar)和/或氢(H2)之类的背侧气体流动,以防止沉积气体到达晶片301的边缘305。排除环300是在由排除环300、晶片支撑件303及晶片301所形成的容积中的边缘305处引导背侧气体的流动,由此避免背侧沉积。如图3A所显示的,排除环300在晶片301的上方延伸,从而在晶片301的顶部与排除环300之间具有间隙311。排除环300在晶片301上方延伸的部分称为悬伸部315。
可调整各种参数以控制边缘处的沉积轮廓。这些包括悬伸部315、间隙311、介于排除环300与喷头(未显示)之间的间隙、背侧气体的流量、以及背侧气体的类型。
在图3B中所描绘的一示例中,在钨的沉积中,在排除环300下方由流线310所指示的背侧气体(例如,Ar/H2)流动将沉积气体(例如,WF6)回推,以防止沉积气体到达晶片301的边缘305,并因此防止边缘处的钨沉积。排除环300的轮廓使来自喷头、且在晶片上径向往外行进的气流的流线312在该环附近向上弯曲。这种向上弯曲使位于该环旁的晶片表面附近的WF6或其他钨前体气体的浓度降低。
在本文所公开的实施方案中,在抑制期间,从背侧使反应性抑制气体流动。如进一步在下文显示的,这显著地改善了整个衬底上的均匀性。图3C显示了在抑制处理期间的排除环300的示例。在所描绘的实施方案中,抑制气体(本示例中为NH3)和钨前体(本示例中为WF6)从喷头(未显示)开始在晶片前侧上流动。抑制气体(NH3)也在排除环300下方流动。显示了两个真空部:一者在排除环上方,而一者在背侧上。可调整流量和真空强度以得到均匀的抑制轮廓。应当注意的是,虽然金属前体可在抑制期间于前侧上流动,但其通常不在背侧上流动,以避免在基座和/或背侧气体通道中的非期望沉积。
虽然图2A至2E、及3A至3C显示了可以在本文所述的实现方案中使用的排除环的示例,但是可使用在衬底的边缘处限制或以其他方式引导气体的任何排除环。图3D至3G中显示了可使用的排除环的额外示例。
在图3D中,抑制气体(例如,NH3)是通过如上方示例中的基座303而从背侧添加。此处,排除环300对背侧气体提供物理阻挡物315,以在晶片301的晶边附近(例如,距离边缘0至1mm之间、或0至2mm之间)形成排除区域。可使Ar或其他惰性气体在其他区域处流动,以控制抑制气体在排除区域外侧的扩散。在图3E中,从喷头325延伸的隔板327可助于将气体集中在晶片边缘附近。可使氩从晶片中心流动以调节气流。如下文进一步讨论的,背侧和前侧抑制处理可以是按顺序的、同时的、或部分重叠的。因此,在一些实施方案中,具有或不具有金属前体的抑制气体可从晶片中心流动。
可使用如PCT专利WO 2019/204754中所描述的排除环、以及包括多个平面以引导处理气流的相关装置。图3F显示了排除环300,其具有安装在晶片支撑件303上的两个环。晶片支撑件303被显示以支持衬底,而在本示例中为晶片301。晶片支撑件303包括与背侧气体源流体连通的环状凹部344,且背侧气体可流动通过环状凹部344。
排除环300包括可用于引导流动的下部环和上部环。举例而言,在沉积期间,下部环可引导背侧气体的流动,以防止背侧沉积或背侧和边缘沉积。上部环可对衬底边缘处附近的处理气体进行引导,以控制此处的沉积。以这种方式,将材料均匀地沉积直至距边缘的阈值距离处,同时防止边缘和背侧处的沉积。根据各种实施方案,下部环和上部环可以是彼此相对固定或可移动的。通过喷头325与上部环之间的间隙,可控制被引导至所述阈值边缘的气体量。通过将上部环移动靠近喷头325,较多流动会前往下部环与上部环之间的间隙,从而提高边缘处的沉积。边缘环组件的示例性实现方案进一步在下文描述。
图3G和3H提供了在不同的喷头-上部环间隙的情况下,排除环300的处理气体流线312的示意图。排除环300包括上部环330和下部环332,其中上部环330和下部环332可以是彼此相对固定或可变的。在上部环330与喷头325之间的环状间隙限定出环状气流通道336。在图3G中,上部环330比图3H中还更远离喷头325。与图3H相比,在图3G中较多的处理气体(如处理气体流线312所表示)被牵引而通过环状气流通道336。
背侧气体被注入以提供通过基座303中的环状凹部344的流动。在此方式中,上方的环状气流通道336和下方的环状气流通道334的相对尺寸提供对于处理气体浓度的控制(并据此控制沉积、或抑制、或其他处理)。在一些实施方案中,可例如将基座升起或下降而改变基座-喷头距离。
根据各种实施方案,可以将本文所述的处理用于沉积处理中,以提供低于1%的不均匀性,其中将距离晶片边缘至少2mm或1mm处所测量的不均匀性确定为100%(最大厚度偏差(tmax-tmin)的一半除以平均厚度)。
图4A和4B显示抑制的执行示例的处理流程图。举例而言,可将关于图4A和4B所述的方法实施为图1中DID处理的操作120的一部分。然而,在其他实施方案中,所述方法可以是任何包括抑制操作的处理序列的一部分,其中该抑制操作包括抑制-沉积、抑制-减少抑制-沉积等。此外,在一些实施方案中,除了背侧气体外或代替背侧气体,所述方法可使用来自晶片前侧或侧部的气流。这种气流被径向朝内引导。还使用被径向朝外引导的前侧气体。
在多种实施方案中,在抑制处理期间执行前侧及背侧抑制处理两者。在图4A和4B的示例中,按顺序执行前侧和背侧抑制处理。在其他实施方案中,可至少部分同时地执行前侧和背侧抑制处理。在一些这种实施方案中,可使用金属前体和抑制气体的前侧流动,而背侧流动可以具有抑制气体作为唯一的反应性气体。在任何背侧或前侧流动中的一者或二者中可包括例如氩(Ar)之类的载体气体。
对于顺序式抑制处理而言,图4A显示了方法400的第一示例,其中先将前侧暴露于热的非等离子体抑制。前侧抑制通常涉及使气体流动通过喷头或其他气体入口,其中所述喷头或其他气体入口在衬底的前侧处引导气体。在图4A的示例中,操作401包括使以下物质流动:例如WF6之类的金属前体、以及如NH3之类的抑制气体,但无额外的反应性气体,例如H2。在其他实施方案中,抑制气体可以是所流动的唯一前侧气体。如果使金属前体及抑制气体两者流动,则可使其以连续或脉冲式流动方式共同流动、或以交替式脉冲进行流动。在操作401期间,可能会或可能不会从背侧流动惰性气体,例如Ar。
接着,在操作403中,执行任选的退火。在一些实施方案中,退火涉及在前侧与背侧抑制操作之间的有意等待期间。这让抑制完全生效可能是有用的。示例性的退火时间可介于1秒至200秒的范围内。
接着,在操作405中,使抑制气体从背侧流动。这可涉及在设置晶片边缘的容积中使抑制气体流动。此容积可通过上述排除环而形成。位于边缘附近的晶片顶表面可以暴露于抑制气体。可以使惰性气体从喷头流动,以控制抑制气体朝向晶片中心的扩散。虽然在操作403中可以使金属前体气体从背侧流动,但在许多实施方案中,抑制气体是所流动的唯一反应性气体。使金属前体气体从背侧流动可能会在背侧流动通道中、或是在基座上造成非期望的沉积。可在单一抑制操作中(例如,DID处理中)将操作401至405执行一次或数次。
图4B中的方法410类似于方法400,其中背侧和前侧抑制的顺序是相反的。因此,在操作411中,如同上方关于操作405所描述的,使抑制气体从背侧流动;在操作413中,如同上方关于操作403所描述的,执行任选的退火;而在操作415中,如同上方关于操作401所描述的,使金属前体气体和抑制气体流动。
图5显示了执行DID处理的示例的处理流程图。首先,在操作501中,在特征中沉积成核层。成核层的沉积较完整地在下文描述,并且可涉及还原剂及金属前体的交替脉冲。在一些实施方案中,下方表面可支持高质量的沉积,而并未沉积成核层。如果沉积成核层,则成核层可保形地为特征表面加上衬垫。接着,在操作503中沉积第一主体层。这可涉及将特征暴露于金属前体和还原剂的ALD或CVD处理。虽然金属前体经常与操作501中的金属前体是相同前体,但在操作501中还原剂可以是含硅或含硼还原剂,而在操作503中为氢。在操作505中,执行抑制处理,包括本文所述的背侧流动。根据各种实施方案,可对抑制进行调整,以优先抑制特征的一部分(例如,如图1示例中的特征顶部处)、或是对特征的深度各处均匀地进行抑制。在一些实施方案中,操作505在下方的衬底表面上执行(使得操作501及503并未执行)。而在一些实施方案中,可在成核层上执行操作505(使得操作503并未执行)。与操作503相同,第二主体层的沉积可以是ALD或CVD处理。可使用任何主体沉积处理,其中所述主体沉积处理因抑制处理而经历成核延迟。接着,在操作507中执行第二主体沉积,其中在特征的受抑制部分上具有成核延迟。在未执行操作503的实现方案中,操作507可以是第一主体沉积。操作507可以是使用金属前体及还原剂的ALD或CVD操作。在许多实施方案中,可使用氢作为还原剂。
在一些实施方案中,可省略操作501。举例而言,在硅氧化物或其他介电材料的沉积中,可在无成核层的情况下沉积主体层。也可以在无成核层的情况下执行某些金属沉积技术。
图6A和6B显示了使用背侧反应性抑制气体的均匀性改善。显示所测得的钨厚度的图。在图6A中,图601显示了未使用背侧反应性抑制气体的抑制操作的结果,而图603显示了使用背侧反应性抑制气体的抑制操作的结果。
图601通过将晶片暴露于前侧的WF6/NH3,并且在背侧上无反应性气体而形成。图603通过将晶片暴露于前侧的WF6/NH3和背侧NH3气体而形成。虽然仅使用抑制处理,但由于钨前体的存在而沉积了少量的钨。从图601可见,在无背侧抑制气体的情况下,晶片边缘处存在相对厚的钨膜。通过比较这些图可见,背侧抑制气体能够补偿晶片边缘处的前侧耗尽,以致于图603并未显示这种相对厚的膜。晶片内的不均匀性通过添加背侧气体而从19%降低至4.6%。
在图6B中,图651显示了未使用背侧反应性抑制气体的DID处理的结果,而图653显示了使用背侧反应性抑制气体的DID处理的结果。除了因基座配置而造成厚度增加的三个区域外,图653的绝大部分是均匀的。除了这些区域外,晶片内的不均匀性通过添加背侧气体而从24.9%降低至4.2%。
图7是在具有和不具有背侧反应性气体的情况下整个晶片的厚度的图表,并且证实使用背侧反应性气体的显著均匀性改善。尤其是,代表来自无背侧NH3处理的测量结果的圆形数据点显示在晶片边缘(-150mm和+150mm)附近的厚度显著增加。代表来自背侧NH3流动处理的测量结果的方形数据点并未显示出这种增加。
可为了均匀抑制而实施上述处理,其中处理气体是从室中的喷头径向地进行分配。在连续流动方案中的沉积处理可能是有利的,对于所述方案而言期望在非常接近衬底边缘的均匀性,而无衬底的边缘或背侧上的沉积。这些包括任何CVD或ALD操作,其中所述CVD或ALD操作包括导电材料或介电材料的沉积,所述导电材料或介电材料包括但不限于钨氮化物(WN)和钨碳化物(WC)、含钛材料(例如,钛(Ti)、钛氮化物(TiN)、钛硅化物(TiSi)、钛碳化物(TiC)和钛铝化物(TiAl))、含钽材料、含镍材料、含钌材料、含钴材料、含钼材料等。
在特定实施方案中,可以将所述方法用作钴膜、钼膜、或钌膜、或含这些金属的化合物膜的抑制-沉积处理(包括DID处理)的一部分。
含金属前体
虽然在上方描述中将WF6用作含钨前体的示例,但应当理解,其他含钨前体可能适于执行所公开的实施方案。举例而言,可使用金属有机的含钨前体。还可使用有机金属前体以及不含氟的前体,例如MDNOW(甲基环戊二烯基二羰基亚硝基钨)、以及EDNOW(乙环戊二烯基二羰基亚硝基钨)。可使用含氯钨前体(WClX),例如五氯化钨(WCl5)以及六氯化钨(WCl6)。
为了沉积钼(Mo),可以使用含钼前体,包括六氟化钼(MOF6)、五氯化钼(MoCl5)、二氧二氯化钼(MoO2Cl2)、一氧四氯化钼(MoOCl4)、以及六羰基钼(Mo(CO)6)。
为了沉积钌(Ru),可使用Ru前体。可用于氧化性反应的钌前体的示例包括(乙基苄基)(1-乙基-1,4,-环己二烯基)Ru(0)、(1-异丙基-4-甲苄基)(1,3-环己二烯基)Ru(0)、(2,3-二甲基-1,3-丁二烯)Ru(0)三羰基、(1,3-环己二烯基)Ru(0)三羰基以及(环戊二烯基)(乙基)Ru(II)二羰基。与非氧化性反应物进行反应的钌前体的示例为双(5-甲基-2,4-己烷二酮基)Ru(II)二羰基、以及双(乙基环戊二烯基)Ru(II)。
为了沉积钴(Co),可以使用含钴前体,包括二羰基环戊二烯基钴(I)、羰基钴、各种脒基钴前体、二氮杂二烯基钴络合物、脒酸钴/胍酸钴前体及其组合。
含金属前体可以与上述的还原剂反应。在一些实施方案中,将H2用作主体层沉积的还原剂,以沉积高纯度膜。
成核层沉积
在一些实现方案中,本文所述的方法涉及在沉积主体层之前先沉积成核层。成核层通常是薄的保形层,所述薄的保形层有助于主体材料在其上的后续沉积。举例而言,可在特征的任何填充之前、和/或在晶片表面上填充特征(例如,通孔互连件)的期间的后续时点时沉积成核层。举例而言,在一些实现方案中,可在蚀刻特征中的钨之后、以及在初始的钨沉积之前沉积成核层。
在某些实现方案中,使用脉冲式成核层(PNL)技术来沉积成核层。在用于沉积钨成核层的PNL技术中,将还原剂、任选的清扫气体、以及含钨前体的脉冲按顺序注入反应室中,并从该反应室清除。以循环方式重复进行所述处理直到实现所期望的厚度。PNL广泛地体现按顺序添加反应物以在半导体衬底上反应的任何循环式处理,包括原子层沉积(ALD)技术。成核层的厚度可取决于成核层沉积方法、以及主体沉积的所期望的质量。通常,成核层的厚度足以支持高质量、均匀的主体沉积。示例可在
Figure BDA0003808888670000141
Figure BDA0003808888670000142
的范围内。
本文所述的方法并不限于特定的成核层沉积方法,而是包括在以任何方法形成的成核层上所进行的主体膜沉积,其中所述任何方法包括PNL、ALD、CVD以及物理气相沉积(PVD)。此外,在某些实现方案中,可直接在特征中沉积主体钨而未使用成核层。举例而言,在一些实现方案中,特征表面和/或已沉积的下方层支持主体沉积。在一些实现方案中,可执行未使用成核层的主体沉积处理。
在多种实现方案中,金属成核层的沉积可涉及利用上文给定的示例而暴露于含金属前体和还原剂。在一些实现方案中,含金属前体的脉冲可以与一或更多还原剂的脉冲交替,例如S/W/S/W/B/W等,W代表含钨前体,S代表含硅前体,而B代表含硼前体。在一些实现方案中,可以不使用单独的还原剂,例如含钨前体可进行热辅助或等离子体辅助分解。
主体沉积
如上所述,可在晶片上执行主体沉积。在一些实现方案中,可通过CVD处理来进行主体沉积,其中使还原剂和含金属前体流入沉积室中,以在特征中沉积主体填充层。可使用惰性载气来输送反应物气流中的一或更多者,其中所述反应物气流中的一或更多者可能会或可能不会进行预混合。与PNL或ALD处理不同的是,该操作通常涉及使反应物连续地流动,直到沉积所期望的量。在某些实现方案中,可在多个阶段中进行CVD操作,其中使反应物连续且同步流动的多个周期被一或更多种反应物流动转向(diverted)的周期所分隔。还可使用ALD处理来执行主体沉积,其中含金属前体与例如H2之类的还原剂交替。
应当理解的是,取决于所使用的特定前体和处理,本文所述的金属膜可包括一定量的其他化合物、掺杂剂和/或杂质,例如氮、碳、氧、硼、磷、硫、硅、锗等。膜中的金属含量可以是范围介于20%至100%(以原子计)的金属。在许多实现方案中,膜是富含金属的,而具有至少为50%(以原子计)的金属,或甚至为至少约60%、75%、90%、或99%(以原子计)的金属。在一些实现方案中,膜可以是金属或元素金属(例如,W、Mo、Co或Ru)与其他含金属化合物(例如,钨碳化物(WC)、钨氮化物(WN)、钼氮化物(MoN)等)的混合物。这些材料的CVD和ALD沉积可以包括使用上述的任何合适前体。
金属成核的抑制
热抑制处理通常涉及将特征暴露于例如氨(NH3)或肼(N2H4)之类的含氮气体,以在特征开口附近对该特征非保形地进行抑制。在一些实施方案中,热抑制处理是在范围介于250℃至450℃的温度下执行。在处于这些温度时,将先前形成的钨或其他层暴露于NH3导致抑制效应。可以将例如氮(N2)或氢(H2)的其他可能抑制化学品用于较高温(例如,900℃)的热抑制。然而,对于许多应用来说,这些高温会超出热预算(thermal budget)。除氨之外,可于适合用于后端制程(BEOL)应用的较低温度下使用其他含氢的氮化试剂,例如肼。
表面的氮化可使该表面钝化。与在常规的主体钨膜上相比,在经氮化表面上所进行的钨或其他金属(例如,钼或钴)的后续沉积明显地被推迟。除NF3之外,可使用例如CF4或C2F8之类的氟碳化物。然而,在某些实现方案中,抑制物质是无氟的,以在抑制期间避免蚀刻。
除上述表面外,可对例如TiN及/或WN表面之类的衬垫/阻挡物层表面上的成核进行抑制。可使用对这些表面进行钝化的任何化学品。利用所使用的活性抑制物质的不同比例,也可以将抑制化学品用于调整抑制轮廓。举例而言,对于W表面的抑制,氮可具有比氢更强的抑制效应;调整形成气体(a forming gas)中N2及H2的比例可以用于调整轮廓。
在某些实现方案中,在抑制之前可以将衬底加热或冷却。可选择衬底的预定温度,以引发特征表面与抑制物质之间的化学反应、和/或促进抑制物质的吸附、以及控制反应或吸附的速率。举例而言,可以将温度选择为具有高反应速率,以在气体源附近产生较多抑制。
在一些实施方案中,抑制会涉及热抑制剂物质与特征表面之间的化学反应,以形成例如金属氮化物膜之类的化合物材料薄层。在一些实施方案中,抑制可以涉及例如吸附之类的表面效应,以将表面钝化而不形成化合物材料层。
本文所述的方法的实施方案并不限于特定抑制化学品。无论抑制机制为何,可以将抑制气体称为反应性抑制气体。这是为了与例如氦(He)或氩(Ar)之类的惰性气体以及可用于引导气流而不反应或造成表面反应的其他非反应性气体进行区分。
虽然本文中描述了金属成核的抑制,但所述方法也可用于其他抑制处理,包括对电介质沉积的抑制。举例而言,可将含卤素物质用于抑制SiO2沉积。在这种示例中,抑制气体可以是氟(F2)或三氟化氮(NF3)之类的含卤素气体。
装置
本文所呈现的方法可以在可从各种供货商获得的各种类型的沉积装置中执行。合适装置的示例包括Concept-1 ALTUSTM、a Concept 2ALTUSTM、a Concept-2 ALTUS-STM、Concept 3ALTUSTM沉积系统、ALTUS MaxTM
Figure BDA0003808888670000171
Max ICEFillTM或者其他各种可从商业获得的沉积工具中的任何一者。单站沉积装置和多站沉积装置中的站都可以用于执行上述方法。
图8显示了可根据前述各种方法而使用的沉积站860。沉积站860具有在沉积期间支持晶片的衬底支撑件803。图中显示了排除环800和喷头825。可经由喷头825来馈送处理气体。举例而言,衬底支撑件803可装配有如图3F中所显示的真空部和环状凹部。
可以将气体传感器、压力传感器、温度传感器等用于提供关于各种实施方案期间的站条件的信息。期间可受监控的站传感器的示例包括质量流量控制器、压力传感器(例如,压力计)、位于基座中的热电耦、以及红外检测器以监控站中的一种或更多种气体的存在。在某些实施方案中,将控制器874用于控制该站的处理条件。对于控制器类型的细节参照图9而在下文进一步描述。可将例如传感器876之类的传感器用于给控制器874提供信息。
图9显示了可以与某些实施方案一起使用的多站装置的示例。装置900包括处理室901,其中处理室901容纳多个站。处理室可容纳至少两个站、或至少三个站、或至少四个站、或者更多。图9显示了具有四站931、932、933和934的装置900。在一些实施方案中,可以将具有处理室901的多站装置900中的所有站暴露于由系统控制器974所控制的相同压力环境。传感器(未显示)还可以包括压力传感器,以提供室压力读数。然而,各个站可以具有独立的温度条件或其他条件。
在沉积处理中,可经由装载锁以将待处理晶片装载至站931中。在该站处,可执行成核和/或主体层沉积处理。接着,可将晶片转位至进行抑制处理的站932,其中站932包括上述的背侧抑制气流。接着,可以在站933和934处执行主体沉积。
系统控制器974可控制转位、站和处理室的条件,例如室压力。系统控制器974(其可包括一个或更多个物理或逻辑控制器)控制着盘装置900的一些或所有操作。系统控制器974可以包括一个或更多个存储器设备以及一个或更多个处理器。在一些实现方案中,系统控制器974是系统的一部分,该系统可以是上述示例的一部分。这样的系统包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定的处理部件(晶片基座、气体流系统等)。这些系统可以与电子器件集成,以便在半导体晶片或衬底的处理之前、期间或之后控制这些系统的操作。电子器件可以集成到系统控制器,其可以控制一个或多个系统的各种部件或子部分。根据处理参数和/或系统的类型的不同,系统控制器629可以被编程,以控制本发明所公开的处理中的任何一者,包括控制处理气体的输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、流率设置、流体输送设置、位置和操作设置、晶片的进出工具和其他转移工具和/或连接到特定系统的或与该系统接口的加载锁的传送。
从广义上讲,系统控制器可以被定义为接收指令、发出指令、控制操作、启用清洁操作、启用终点测量等的具有各种集成电路、逻辑、存储器、和/或软件的电子器件。该集成电路可以包括固件形式的存储程序指令的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或执行程序指令(例如,软件)的一个或多个微处理器或微控制器。程序指令可以是以各种不同的设置(或程序文件)形式输送到控制器的指令,不同的设置(或程序文件)定义用于在半导体晶片或系统上或针对半导体晶片或系统进行处理的操作参数。在一些实施方案中,所述操作参数可以是由工艺工程师定义的用以完成在晶片的一个或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯的制造或去除的过程中的一个或多个处理步骤的配方的一部分。
在一些实施方案中,系统控制器可以是与系统集成、耦接或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦接。例如,控制器可以在“云端”或者是晶片厂(fab)主计算机系统的全部或一部分,它们可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监测制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,以改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的处理。在一些示例中,远程计算机(例如,服务器)可以通过网络给系统提供处理配方,网络可以包括本地网络或互联网。远程计算机可以包括允许输入或编程参数和/或设置的用户界面,这些参数和/或设置然后从远程计算机传输到系统。在一些示例中,系统控制器接收数据形式的指令,这些指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。这些参数可以针对将要执行的处理类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,系统控制器可以例如通过包括一个或多个分立的控制器而分布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的处理和控制)工作。用于这些目的的分布式控制器的实例将是与一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的在室内的一个或多个集成电路,它们结合以控制室内的处理。
示例性系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转冲洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、ALD室或模块、ALE室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联的或使用的任何其他的半导体处理系统。
如上所述,根据工具将要执行的一个或多个处理步骤,控制器可以与一个或多个其他的工具电路或模块、其他工具组件、集成工具、其他工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。
图案化方法/装置:
上文描述的方法/装置可以与光刻图案化工具或处理结合使用,例如,用于制备或制造半导体器件、显示器、LED、光伏电池板等。通常,虽然不是必要地,这些工具/过程将在共同的制造设施中一起使用或操作。膜的光刻图案化通常包括以下步骤中的一些或所有,每个步骤启用多个可行的工具:(1)使用旋涂或喷涂工具在工件,即,衬底上涂覆光致抗蚀剂;(2)使用热板或加热炉或紫外线固化工具固化光致抗蚀剂;(3)使用例如晶片步进曝光机之类的工具使光致抗蚀剂暴露于可见光或紫外线或X射线;(4)使抗蚀剂显影以便选择性地去除抗蚀剂并且从而使用例如湿式清洗台之类的工具将其图案化;(5)通过使用干式或等离子体辅助蚀刻工具将抗蚀剂图案转印到下方的膜或工件上;并且(6)使用例如射频或微波等离子体抗蚀剂剥离器之类的工具去除抗蚀剂。

Claims (20)

1.一种方法,其包括:
提供衬底,所述衬底具有沉积在所述衬底中的特征中的金属,所述衬底具有前侧、背侧和边缘;以及
在已沉积的所述金属的表面上执行非等离子体抑制处理以抑制所述经处理的表面上的成核,所述非等离子体抑制处理包括使来自气体入口的抑制气体在所述衬底的所述前侧上流动、以及使来自所述衬底的所述背侧的所述抑制气体在所述衬底的所述边缘附近流动。
2.根据权利要求1所述的方法,其中所述非等离子体抑制处理还包括使来自气体入口的金属前体在所述衬底的所述前侧上流动。
3.根据权利要求2所述的方法,其中没有金属前体从所述衬底的所述背侧流动。
4.根据权利要求1所述的方法,其中使来自所述气体入口的所述抑制气体在所述衬底的所述前侧上流动与使来自所述衬底的所述背侧的所述抑制气体流动同时或部分重叠地执行。
5.根据权利要求1所述的方法,其中使来自所述气体入口的所述抑制气体在所述衬底的所述前侧上流动与使来自所述衬底的所述背侧的所述抑制气体流动是交替的。
6.根据权利要求5所述的方法,其中所述非等离子体抑制处理包括退火阶段,所述退火阶段介于使来自所述气体入口的所述抑制气体在所述衬底的所述前侧上流动与使来自所述衬底的所述背侧的所述抑制气体流动之间。
7.根据权利要求1至6中任一项所述的方法,其中所述金属是钨(W)、钼(Mo)、钴(Co)和钌(Ru)中的一者。
8.根据权利要求1至6中任一项所述的方法,其中所述抑制气体为含氮气体。
9.根据权利要求8所述的方法,其中所述抑制气体为氨(NH3)或肼(N2H4)。
10.根据权利要求1至6中任一项所述的方法,其还包括在所述非等离子体抑制处理后,将所述特征暴露于金属前体和还原剂,以在所述特征中沉积金属,其中在所述经处理的表面上的所述金属的沉积是延迟的。
11.根据权利要求10所述的方法,其中所述非等离子体抑制处理和所述沉积是在多站室的不同站中执行。
12.根据权利要求1至6中任一项所述的方法,其中提供所述衬底包括在所述特征中沉积金属,所述衬底具有沉积在所述衬底中的所述特征中的所述金属。
13.根据权利要求1至6中任一项所述的方法,其中使来自所述衬底的所述背侧的所述抑制气体在所述衬底的所述边缘附近流动包括使所述抑制气体从所述衬底的所述背侧流动至排除环下方的容积中。
14.一种装置,其包括:
多站室,各站包括基座,所述基座包括顶表面以及位于所述顶表面中的环状凹部,所述环状凹部被配置成流体连接至背侧气体源;排除环,其位于所述基座上;以及喷头,其设置在所述基座上方并被配置成流体连接至前侧气体源;以及
包括指令的控制器,所述指令用于:
使金属前体和还原剂流动通过第一站的所述喷头,其中所述第一站容纳衬底以在所述衬底上沉积金属膜;
将所述衬底转移至第二站,并且使抑制气体流动通过所述喷头,并且使来自所述背侧气体源的所述抑制气体流动通过所述环状凹部;以及
将所述衬底转移至第三站,并且使金属前体和还原剂流动通过所述喷头,以在所述衬底上沉积金属膜。
15.根据权利要求14所述的装置,其中所述控制器包括指令,所述指令用于使所述抑制气体流动通过所述环状凹部,而不使金属前体流动通过所述环状凹部。
16.根据权利要求14所述的装置,其中所述控制器包括指令,所述指令用于使来自所述喷头的所述抑制气体流动与使所述抑制气体流动通过所述环状凹部同时或部分重叠进行。
17.根据权利要求14所述的装置,其中所述控制器包括指令,所述指令用于使来自所述喷头的所述抑制气体流动与使所述抑制气体流动通过所述环状凹部是交替的。
18.一种装置,其包括:
室,其包括基座,所述基座包括顶表面以及位于所述顶表面中的环状凹部,所述环状凹部被配置成流体连接至背侧气体源;排除环,其位于所述基座上;以及喷头,其设置在所述基座上方并被配置成流体连接至前侧气体源;以及
包括指令的控制器,所述指令用于:
使金属前体与抑制气体流动通过所述喷头,以及使所述抑制气体流动通过所述环状凹部,但不使金属前体流动通过所述环状凹部。
19.根据权利要求18所述的装置,其中所述控制器包括指令,所述指令用于使来自所述喷头的所述抑制气体流动与使所述抑制气体流动通过所述环状凹部同时或部分重叠进行。
20.根据权利要求18所述的装置,其中所述控制器包括指令,所述指令用于使来自所述喷头的所述抑制气体流动与使所述抑制气体流动通过所述环状凹部是交替的。
CN202180016023.XA 2020-02-21 2021-02-17 背侧反应性抑制气体 Pending CN115151680A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US202062980019P 2020-02-21 2020-02-21
US62/980,019 2020-02-21
PCT/US2021/018347 WO2021167958A1 (en) 2020-02-21 2021-02-17 Backside reactive inhibition gas

Publications (1)

Publication Number Publication Date
CN115151680A true CN115151680A (zh) 2022-10-04

Family

ID=77391211

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180016023.XA Pending CN115151680A (zh) 2020-02-21 2021-02-17 背侧反应性抑制气体

Country Status (6)

Country Link
US (1) US20220415711A1 (zh)
JP (1) JP2023514842A (zh)
KR (1) KR20220142527A (zh)
CN (1) CN115151680A (zh)
TW (1) TW202146686A (zh)
WO (1) WO2021167958A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113699586B (zh) * 2021-08-27 2022-07-26 江苏第三代半导体研究院有限公司 一种带空气桥结构的托盘及外延生长方法
USD1009817S1 (en) 2021-09-28 2024-01-02 Applied Materials, Inc. Shadow ring lift pin
USD997894S1 (en) 2021-09-28 2023-09-05 Applied Materials, Inc. Shadow ring lift assembly
USD997893S1 (en) 2021-09-28 2023-09-05 Applied Materials, Inc. Shadow ring lift plate

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5080933A (en) * 1990-09-04 1992-01-14 Motorola, Inc. Selective deposition of polycrystalline silicon
JP5189294B2 (ja) * 2004-02-13 2013-04-24 エーエスエム アメリカ インコーポレイテッド オートドーピングおよび裏面堆積を減少させるための基板支持システム
US9997405B2 (en) * 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US20170218517A1 (en) * 2016-02-01 2017-08-03 Tokyo Electron Limited Method of forming nitride film
TWI822764B (zh) * 2018-04-20 2023-11-21 美商蘭姆研究公司 半導體處理用設備及方法

Also Published As

Publication number Publication date
US20220415711A1 (en) 2022-12-29
KR20220142527A (ko) 2022-10-21
WO2021167958A1 (en) 2021-08-26
JP2023514842A (ja) 2023-04-11
TW202146686A (zh) 2021-12-16

Similar Documents

Publication Publication Date Title
US11978666B2 (en) Void free low stress fill
JP7407125B2 (ja) エッジエクスクルージョン制御
US10546751B2 (en) Forming low resistivity fluorine free tungsten film without nucleation
CN115151680A (zh) 背侧反应性抑制气体
CN111357083A (zh) 自限制生长
KR20160140458A (ko) 저 불소 함량을 가진 텅스텐 막들
US20230130557A1 (en) Reactant gas pulse delivery
KR102632800B1 (ko) 금속 옥사이드를 환원시키고 제거함으로써 저 저항률 금속 콘택트들 및 상호접속부들을 형성하는 시스템들 및 방법들
US20220013365A1 (en) Molybdenum templates for tungsten
CN115362531A (zh) 具有成核抑制的特征填充
CN107731669B (zh) 用于间隙特征中的ald沉积轮廓调整的添加剂
US20220349048A1 (en) Reducing line bending during metal fill process
WO2023038905A1 (en) Process gas ramp during semiconductor processing
TW202338134A (zh) 金屬填充中的傾斜襯墊
TW202401671A (zh) 高縱橫比3d nand結構中的鎢字元線填充

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination