CN115084024A - Semiconductor device and method for manufacturing the same - Google Patents

Semiconductor device and method for manufacturing the same Download PDF

Info

Publication number
CN115084024A
CN115084024A CN202210844775.1A CN202210844775A CN115084024A CN 115084024 A CN115084024 A CN 115084024A CN 202210844775 A CN202210844775 A CN 202210844775A CN 115084024 A CN115084024 A CN 115084024A
Authority
CN
China
Prior art keywords
layer
substrate
semiconductor device
oxide
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN202210844775.1A
Other languages
Chinese (zh)
Other versions
CN115084024B (en
Inventor
金磊
周儒领
宋富冉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nexchip Semiconductor Corp
Original Assignee
Nexchip Semiconductor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nexchip Semiconductor Corp filed Critical Nexchip Semiconductor Corp
Priority to CN202210844775.1A priority Critical patent/CN115084024B/en
Publication of CN115084024A publication Critical patent/CN115084024A/en
Application granted granted Critical
Publication of CN115084024B publication Critical patent/CN115084024B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

The invention provides a semiconductor device and a preparation method thereof, comprising the following steps: providing a substrate, wherein a plurality of grid structures are formed on the substrate, side wall protection layers are formed on two sides of each grid structure and comprise an oxide layer and a nitride layer, the oxide layers cover two sides of each grid structure and part of the surface of the substrate, and the nitride layer is positioned on the oxide layers and covers the side surfaces and the top surfaces of the oxide layers; forming a metal layer to cover the gate structure, the nitride layer and the surface of the substrate, exposing partial side of the oxide layer between the nitride layer and the substrate, and contacting the metal layer on the surface of the substrate with the oxide layer; etching to remove part of the oxide layer to form a gap between the nitride layer and the substrate, wherein the gap exposes the substrate to isolate the oxide layer from the metal layer; forming a barrier layer to cover the metal layer and fill at least part of the gap; performing a thermal annealing process to form metal silicide in the substrate on both sides of the gate structure; the invention improves the sidetrack phenomenon of the metal silicide.

Description

Semiconductor device and method for manufacturing the same
Technical Field
The invention relates to the technical field of semiconductors, in particular to a semiconductor device and a preparation method thereof.
Background
The self-aligned nickel silicide process is used when the characteristic size of a semiconductor device reaches below 65nm, after the ion implantation of a source region and a drain region of the device is completed, a layer of nickel metal is plated on the surface of the device, the nickel metal and silicon form low-resistance nickel silicide through thermal reaction, and the nickel metal cannot react with the silicon because a nitride layer is formed on the side wall of a channel, so that the nickel metal can be selectively removed, and only the nickel silicide on the source region, the drain region and a grid polycrystalline silicon layer is reserved, namely the self-aligned nickel silicide process. And a through hole structure is formed on the nickel silicide later, so that the contact resistance of the device can be obviously reduced. Compared with the traditional cobalt silicide process, the self-aligned nickel silicide process has the characteristics of no narrow line width effect and high stability.
The existing self-aligned nickel silicide process still has certain defects, the most obvious problem is the problem of sidetracking of nickel silicide, and if oxygen atoms participate in the formation process of nickel silicide, unstable compounds are generated on the interface of nickel silicide and silicon, so that the nickel silicide generates sidetracking phenomenon, and the device generates electric leakage.
Fig. 1 is a schematic cross-sectional view of a semiconductor device according to the prior art after a substrate is provided; FIG. 2 is a schematic cross-sectional view illustrating a metal layer and a barrier layer formed in a method for manufacturing a semiconductor device according to the prior art; fig. 3 is a schematic cross-sectional view illustrating a thermal annealing process performed in a method for manufacturing a semiconductor device according to the related art. Referring to fig. 1, a substrate 10 is provided, a plurality of gate structures 20 are formed ON the substrate 10, and at least one ON structure layer is formed ON two sides of the gate structures 20, the ON structure layer including an oxide layer and a nitride layer. In fig. 1, two ON structure layers are shown, namely a first ON structure layer and a second ON structure layer, the second ON structure layer (not shown) covers the side surface of the gate structure 20, the first ON structure layer includes a first oxide layer 31 and a first nitride layer 32, the first oxide layer 31 covers the side surface of the gate structure 20 and a portion of the surface of the substrate 10, the first nitride layer 32 is located ON the first oxide layer 31 and covers the side surface and a portion of the top surface of the first oxide layer 31, and the first oxide layer 31 is spaced between the first nitride layer 32 and the substrate 10; and forming a plurality of doped regions in the substrate 10, wherein the doped regions are located between two adjacent gate structures 20, the doped regions are used as source regions 41 or drain regions 42, and the source regions 41 and the drain regions 42 are arranged in the substrate 10 at intervals.
Referring to fig. 2, a metal layer 50 is formed to cover the exposed surfaces of the substrate 10, the gate structure 20 and the ON structure layer, the metal layer 50 ON the surface of the substrate 10 is in contact with a portion of the surface of the first oxide layer 31, and the metal layer 50 is made of nickel; further, a barrier layer 60 is formed to cover the metal layer 50, and the barrier layer 60 can isolate oxygen.
Referring to fig. 3, a thermal annealing process is performed to form a metal silicide 51, i.e., a nickel silicide, in the substrate 10 on both sides of the gate structure 20. Because the metal layer 50 on the surface of the substrate 10 is in contact with the first oxide layer 31, when the thermal annealing process is performed, the metal layer 50 on the surface of the substrate 10 and the first oxide layer 31 are likely to react to generate an unstable compound, so that the metal silicide 51 on the surface of the substrate 10 is likely to generate a sidetrack phenomenon (shown by a circular dotted frame in fig. 3), that is, the nickel silicide is likely to generate a sidetrack phenomenon, thereby increasing the leakage current of the device and easily causing the device failure.
Disclosure of Invention
The invention aims to provide a semiconductor device and a preparation method thereof, which can improve the sidetracking phenomenon of metal silicide so as to improve the stability of the device.
In order to achieve the above object, the present invention provides a method for manufacturing a semiconductor device, comprising:
providing a substrate, wherein a plurality of grid structures are formed on the substrate, side wall protection layers are formed on two sides of each grid structure and comprise an oxide layer and a nitride layer, the oxide layers cover two sides of each grid structure and extend to cover part of the surface of the substrate, the nitride layer is positioned on the oxide layers and covers the side surfaces and the top surfaces of the oxide layers, and the oxide layers are arranged between the nitride layer and the substrate at intervals;
forming a metal layer to cover the gate structure, the nitride layer and the surface of the substrate, and expose a part of the side surface of the oxide layer between the nitride layer and the substrate, wherein the metal layer on the surface of the substrate is in contact with the oxide layer;
etching to remove part of the oxide layer so as to form a gap between the nitride layer and the substrate, wherein the gap exposes the substrate to isolate the oxide layer and the metal layer;
forming a barrier layer to cover the metal layer and fill at least part of the gap so that the metal layer on the surface of the substrate is covered by three sides of the barrier layer; and the number of the first and second groups,
and performing a thermal annealing process to form metal silicide in the substrate on two sides of the gate structure.
Optionally, the material of the metal layer includes nickel.
Optionally, the thickness of the metal layer is smaller than that of the oxide layer, and the thickness of the metal layer is 50A-200A.
Optionally, the metal layer is formed by a first physical vapor deposition process.
Optionally, a plasma precleaning process is used to etch and remove a part of the oxide layer.
Optionally, the etching gas of the plasma precleaning process comprises NF 3 And NH 3
Optionally, the material of the barrier layer includes titanium nitride.
Optionally, the barrier layer is formed by a second physical vapor deposition process.
Optionally, the step of performing the thermal annealing process includes:
performing a first thermal annealing process to form the metal silicide in the substrate on both sides of the gate structure;
removing the barrier layer and the unreacted metal layer; and the number of the first and second groups,
and performing a second thermal annealing process to reduce the resistance of the metal silicide.
The invention also provides a semiconductor device which is prepared by the preparation method of the semiconductor device.
In the semiconductor device and the preparation method thereof provided by the invention, a plurality of grid structures are formed on a substrate, side wall protective layers are formed on two sides of each grid structure, each side wall protective layer comprises an oxide layer and a nitride layer, the oxide layers cover two sides of each grid structure and extend to cover part of the surface of the substrate, the nitride layers are positioned on the oxide layers and cover the side surfaces and the top surfaces of the oxide layers, and the oxide layers are arranged between the nitride layers and the substrate at intervals; forming a metal layer to cover the gate structure, the nitride layer and the surface of the substrate, exposing partial side surfaces of the oxide layer between the nitride layer and the substrate, and contacting the metal layer on the surface of the substrate with the oxide layer; etching to remove part of the oxide layer to form a gap between the nitride layer and the substrate, wherein the gap exposes the substrate to isolate the oxide layer from the metal layer; forming a barrier layer to cover the metal layer and fill at least part of the gap so as to enable three sides of the barrier layer to cover the metal layer on the surface of the substrate; and performing a thermal annealing process to form metal silicide in the substrate on both sides of the gate structure. According to the invention, the metal layer on the surface of the substrate is contacted with the oxide layer to cause the sidetracking phenomenon of the metal silicide, namely, a gap is formed by removing part of the oxide layer, the gap plays a role of isolating the oxide layer from the metal layer, the barrier layer fills at least part of the gap to cover the metal layer on the surface of the substrate by three sides, the effect of isolating the oxide layer from the metal layer is also played, the metal layer is prevented from flowing in a thermal annealing process, and when the thermal annealing process is carried out, the metal layer is not contacted with the oxide layer to react to generate an unstable compound, so that the sidetracking phenomenon of the metal silicide is improved, the leakage current of a device is reduced, and the stability of the device is improved.
Drawings
Fig. 1 is a schematic cross-sectional view of a semiconductor device according to the prior art after a substrate is provided.
Fig. 2 is a schematic cross-sectional view illustrating a metal layer and a barrier layer formed in a method for manufacturing a semiconductor device according to the prior art.
Fig. 3 is a schematic cross-sectional view illustrating a thermal annealing process performed in a method for manufacturing a semiconductor device according to the related art.
Fig. 4 is a flowchart of a method for manufacturing a semiconductor device according to an embodiment of the present invention.
Fig. 5 is a schematic cross-sectional view illustrating a semiconductor device according to an embodiment of the present invention after a substrate is provided.
Fig. 6 is a schematic cross-sectional view illustrating a metal layer formed in a method for manufacturing a semiconductor device according to an embodiment of the present invention.
Fig. 7 is a schematic cross-sectional view illustrating a semiconductor device according to an embodiment of the present invention after forming a void.
Fig. 8 is a schematic cross-sectional view illustrating a barrier layer formed in a method for manufacturing a semiconductor device according to an embodiment of the present invention.
Fig. 9 is a schematic cross-sectional view illustrating a thermal annealing process performed in a method for manufacturing a semiconductor device according to an embodiment of the invention.
Fig. 10 is a schematic cross-sectional view illustrating a passivation layer, a source plug, a drain plug, and a gate plug formed in a method for manufacturing a semiconductor device according to an embodiment of the present invention.
The reference numerals in fig. 1 to 3 are:
10-a substrate; 20-a gate structure; 31-a first oxide layer; 32-a first nitride layer; a 41-source region; 42-a drain region; 50-a metal layer; 51-metal silicide; 60-barrier layer.
The reference numerals in fig. 5 to 10 are:
100-a substrate; 200-a gate structure; 210-a gate polysilicon layer; 220-a gate oxide layer; 311-a first oxide layer; 312 — a first nitride layer; 321-a second oxide layer; 322-a second nitride layer; 410-a source region; 420-a drain region; 500-a metal layer; 510-metal silicide; 600-a barrier layer; 700-a passivation layer; 810-source plug; 820-drain plug; 830-gate plugs.
Detailed Description
The following describes in more detail embodiments of the present invention with reference to the schematic drawings. The advantages and features of the present invention will become more apparent from the following description. It is to be noted that the drawings are in a very simplified form and are not to precise scale, which is merely for the purpose of facilitating and distinctly claiming the embodiments of the present invention.
Fig. 4 is a flowchart of a method for manufacturing a semiconductor device according to this embodiment. Referring to fig. 4, the present embodiment provides a method for manufacturing a semiconductor device, including:
step S1: providing a substrate, wherein a plurality of grid structures are formed on the substrate, side wall protection layers are formed on two sides of each grid structure and comprise an oxide layer and a nitride layer, the oxide layers cover two sides of each grid structure and extend to cover part of the surface of the substrate, the nitride layer is positioned on the oxide layers and covers the side surfaces and the top surface of the oxide layers, and the oxide layers are arranged between the nitride layers and the substrate at intervals;
step S2: forming a metal layer to cover the gate structure, the nitride layer and the surface of the substrate, exposing partial side of the oxide layer between the nitride layer and the substrate, and contacting the metal layer on the surface of the substrate with the oxide layer;
step S3: etching to remove part of the oxide layer to form a gap between the nitride layer and the substrate, wherein the gap exposes the substrate to isolate the oxide layer from the metal layer;
step S4: forming a barrier layer to cover the metal layer and fill at least part of the gap so that three sides of the barrier layer cover the metal layer on the surface of the substrate;
step S5: a thermal annealing process is performed to form a metal silicide in the substrate on both sides of the gate structure.
Fig. 5 is a schematic cross-sectional view after a substrate is provided in the method for manufacturing a semiconductor device provided in this embodiment; fig. 6 is a schematic cross-sectional view after a metal layer is formed in the method for manufacturing a semiconductor device according to this embodiment; fig. 7 is a schematic cross-sectional view after forming a void in the method for manufacturing a semiconductor device according to the present embodiment; fig. 8 is a schematic cross-sectional view after forming a barrier layer in the method for manufacturing a semiconductor device according to this embodiment; fig. 9 is a schematic cross-sectional view illustrating a thermal annealing process performed in the method for manufacturing a semiconductor device according to the present embodiment; fig. 10 is a schematic cross-sectional view illustrating a passivation layer, a source plug, a drain plug, and a gate plug formed in the method for manufacturing a semiconductor device according to this embodiment. The method for manufacturing the semiconductor device according to the present embodiment will be described in detail with reference to fig. 5 to 10.
Referring to fig. 5, step S1 is executed: a substrate 100 is provided, the substrate 100 being a silicon substrate. A plurality of gate structures 200 are formed on the substrate 100, and in the present embodiment, the gate structures 200 include a gate polysilicon layer 210 and a gate oxide layer 220, and the gate oxide layer 220 is located between the gate polysilicon layer 210 and the substrate 100.
The gate structure 200 has sidewall protection layers formed on both sides thereof, and the sidewall protection layers serve to protect the gate polysilicon layer 210 in a subsequent ion implantation process. The sidewall protection layer includes at least one ON structure layer, where the ON structure layer includes an oxide layer and a nitride layer, and when the sidewall protection layer includes only one ON structure layer, the oxide layer covers both sides of the gate structure 200 and extends to cover a portion of the surface of the substrate 100, the nitride layer is located ON the oxide layer and covers the sides and top of the oxide layer, and the oxide layer is spaced between the nitride layer and the substrate 100. In fig. 5, two ON structure layers are shown, namely a first ON structure layer and a second ON structure layer, the second ON structure layer covers two sides of the gate structure 200, the first ON structure layer covers a side surface of the second ON structure layer, the first ON structure layer includes a first oxide layer 311 and a first nitride layer 312, and the second ON structure layer includes a second oxide layer 321 and a second nitride layer 322. Specifically, the second oxide layer 321 covers the side surface of the gate structure 200 and a portion of the surface of the substrate 100 (the second oxide layer 321 is L-shaped), and the second nitride layer 322 is located on the second oxide layer 321 and covers the side surface and a portion of the top surface of the second oxide layer 321; the first oxide layer 311 covers the side surface of the second nitride layer 322 and extends to cover a portion of the surface of the substrate 100 (the first oxide layer 311 is L-shaped), the first nitride layer 312 is located on the first oxide layer 311 and covers the side surface and a portion of the top surface of the first oxide layer 311, and the first oxide layer 311 is spaced between the first nitride layer 312 and the substrate 100.
In this embodiment, the sidewall protection layer needs to include an oxide layer (the first oxide layer 311) and a nitride layer (the first nitride layer 312), i.e., the sidewall protection layer only includes the first ON structure layer, and the second ON structure layer can be omitted according to practical situations. When the second ON structure layer is omitted, the oxide layer (the first oxide layer 311) covers the side surface of the gate structure 200 and extends to cover a portion of the surface of the substrate 100, the nitride layer (the first nitride layer 312) is located ON the oxide layer (the first oxide layer 311) and covers the side surface and a portion of the top surface of the oxide layer (the first oxide layer 311), and the oxide layer (the first oxide layer 311) is spaced between the nitride layer (the first nitride layer 312) and the substrate 100.
Further, a plurality of doped regions are formed in the substrate 100 between two adjacent gate structures 200, the doped regions are used as the source regions 410 or the drain regions 420, the source regions 410 and the drain regions 420 are arranged in the substrate 100 at intervals, the conductivity types of the source regions 410 and the drain regions 420 are the same, and the source regions 410 and the drain regions 420 are preferably formed after the sidewall protection layer is formed.
Referring to fig. 6, step S2 is executed: the metal layer 500 is formed by a first physical vapor deposition process, the exposed surfaces of the substrate 100, the first nitride layer 312 and the gate polysilicon layer 210 are covered by the metal layer 500, a part of the side surface (shown by a circular dotted frame in fig. 6) of the first oxide layer 311 between the first nitride layer 312 and the substrate 100 is exposed after the metal layer 500 is formed, and the metal layer 500 on the surface of the substrate 100 is in contact with the first oxide layer 311. In this embodiment, to reveal portions of the side surfaces of the first oxide layer 311 between the first nitride layer 312 and the substrate 100, the thickness of the metal layer 500 is required to be less than the thickness of the first oxide layer 311, and the thickness of the metal layer 500 may be 50 a-200 a, without being limited to this thickness range. In this embodiment, the material of the metal layer 500 is preferably nickel, and the self-aligned nickel silicide process is suitable for use when the feature size of the semiconductor device reaches 65nm or less, and because the coverage of nickel is poor, when the thickness of nickel is small (smaller than the thickness of the first oxide layer 311), nickel is not deposited on a part of the side surface of the first oxide layer 311 between the first nitride layer 312 and the substrate 100, so as to ensure that a part of the side surface of the first oxide layer 311 between the first nitride layer 312 and the substrate 100 is exposed after the metal layer 500 is formed, and the material of the metal layer 500 may also be a nickel platinum alloy, which is not limited to the above materials.
Referring to fig. 7, step S3 is executed: a plasma preclean process is used to etch away a portion of the exposed first oxide layer 311, so as to form a gap (shown by a circular dashed box in fig. 7) between the first nitride layer 312 and the substrate 100, and the gap exposes the surface of the substrate 100, and the gap isolates the first oxide layer 311 from the metal layer 500. The plasma precleaning process has high selectivity, and does not have a large influence on the metal layer 500 and the substrate 100 when the first oxide layer 311 is etched.
In this embodiment, the plasma precleaning process includes an etchant generation process, an etching process, and a sublimation process, wherein in the etchant generation process, the process temperature may be between 30 ℃ and 40 ℃, preferably, the process temperature is 35 ℃, and the etching gas includes NF 3 (Nitrogen trifluoride) and NH 3 (ammonia), NF 3 And NH 3 Introducing the mixed gas into a plasma precleaning reaction chamber, NF 3 And NH 3 The mixed gas is converted into NH under the action of plasma 4 F (ammonium fluoride) and NH 4 HF 2 (ammonium hydrogen fluoride); during the etching, NH 4 F and NH 4 HF 2 Condensation occurs on the surface of the device and preferentially reacts with the oxide, i.e., first oxide layer 311, to form solid (NH) 4 ) 2 SiF 6 (hexafluorosilicone ammonia) and liquid water; in the sublimation process, the process temperature is higher than 100 ℃, hydrogen is introduced into the plasma precleaning reaction chamber, the etchant generation process, the etching process and the sublimation process are carried out in the same plasma precleaning reaction chamber, the flowing hydrogen brings heat to the surface of the device, and the device is rapidly heated to be higher than 100 ℃ so as to enable the device to be solid (NH) 4 ) 2 SiF 6 Is decomposed into gaseous SiF 4 (silicon tetrafluoride), NH 3 (ammonia gas) and HF (hydrogen fluoride), and then the gas is pumped out by a vacuum pump, thereby achieving etching of the first oxide layer 311. In this embodiment, the width of the gap is greater than 5nm, and the width of the gap is a dimension along the left-right direction in fig. 7, which not only ensures that the gap can expose the substrate 100, but also ensures that the subsequently formed barrier layer can fill at least part of the gap.
Referring to fig. 8, step S4 is executed: a second physical vapor deposition process is used to form the barrier layer 600, and the barrier layer 600 covers the surface of the metal layer 500 and fills at least a portion of the gap. In this embodiment, it is preferable that the barrier layer 600 covers the metal layer 500 on the surface of the substrate 100 on three sides, that is, the barrier layer 600 covers not only the top surface of the metal layer 500 on the surface of the substrate 100, but also the side surface (shown by the circular dashed box in fig. 8) of the metal layer 500 on the surface of the substrate 100, so that the barrier layer 600 can not only isolate the metal layer 500 on the surface of the substrate 100 from the first oxide layer 311, but also prevent the metal layer 500 on the surface of the substrate 100 from flowing in the subsequent thermal annealing process, and isolate oxygen in the environment. In this embodiment, the material of the barrier layer 600 is preferably TiN (titanium nitride), which has good coverage and can fill the gap well, but is not limited to this material.
Referring to fig. 9, step S5 is executed: a thermal annealing process is performed to form metal silicide 510 in the substrate 100 on both sides of the gate structure 200. Specifically, a first thermal annealing process is performed to form metal silicide 510 in the substrate 100 on both sides of the gate structure 200, and simultaneously form metal silicide 510 on the top of the gate polysilicon layer 210, wherein the annealing temperature of the first thermal annealing process may be 240-330 ℃. Next, a wet etching process is used to remove the barrier layer and selectively remove the unreacted metal layer, i.e., the unreacted metal layer on the sidewall protection layer is removed, however, since the substrate 100 and a part of the metal layers on the gate polysilicon layer 210 do not completely react in the first thermal annealing process to form the metal silicide 510, when the unreacted metal layer on the sidewall protection layer is removed, the unreacted metal layers on the substrate 100 and the gate polysilicon layer 210 are simultaneously removed, and the metal silicide 510 is remained. In this embodiment, the etchant of the wet etching process includes sulfuric acid and ammonia water, and is not limited to the above etchant. Furthermore, a second thermal annealing process is performed to reduce the resistance of the metal silicide 510, wherein the metal silicide 510 is located on the surfaces of the source region 410, the drain region 420 and the gate polysilicon layer 210, and the annealing temperature of the second thermal annealing process is higher than that of the first thermal annealing process, so as to improve the thermal stability of the formed metal silicide 510, and in this embodiment, the metal silicide 510 is preferably nickel silicide.
In this embodiment, because the metal layer on the surface of the substrate contacts with the first oxide layer, which may result in the sidetracking of the metal silicide, a gap is formed by removing part of the first oxide layer, the gap plays a role in isolating the first oxide layer from the metal layer, and the barrier layer fills at least part of the gap to wrap the metal layer on the surface of the substrate with three sides, which also plays a role in isolating the first oxide layer from the metal layer, and prevents the metal layer from flowing in the thermal annealing process.
Referring to fig. 10, a passivation layer 700 is formed to cover the substrate 100, the gate structure 200 and the sidewall protection layer, and the passivation layer 700 may be silicon nitride and/or silicon oxide, but is not limited thereto. And forming a source plug 810, a drain plug 820 and a gate plug 830 in the passivation layer 700, wherein the source plug 810 penetrates through the passivation layer 700 and contacts the metal silicide 510 on the surface of the source region 410, the drain plug 820 penetrates through the passivation layer 700 and contacts the metal silicide 510 on the surface of the drain region 420, and the gate plug 830 penetrates through the passivation layer 700 and contacts the metal silicide 510 on the surface of the gate polysilicon layer 210.
The invention also provides a semiconductor device which is prepared by the preparation method of the semiconductor device, and the structure of the semiconductor device is not repeated herein, and the semiconductor device can be an MOS (metal oxide semiconductor) device, a memory device and the like.
In summary, in the semiconductor device and the manufacturing method thereof provided by the present invention, a plurality of gate structures are formed on a substrate, sidewall protection layers are formed on two sides of the gate structures, the sidewall protection layers include an oxide layer and a nitride layer, the oxide layer covers two sides of the gate structures and extends to cover a portion of the surface of the substrate, the nitride layer is located on the oxide layer and covers the side surfaces and the top surface of the oxide layer, and the oxide layer is spaced between the nitride layer and the substrate; forming a metal layer to cover the gate structure, the nitride layer and the surface of the substrate, exposing partial side surfaces of the oxide layer between the nitride layer and the substrate, and contacting the metal layer on the surface of the substrate with the oxide layer; etching to remove part of the oxide layer to form a gap between the nitride layer and the substrate, wherein the gap exposes the substrate to isolate the oxide layer from the metal layer; forming a barrier layer to cover the metal layer and fill at least part of the gap so that three sides of the barrier layer cover the metal layer on the surface of the substrate; and performing a thermal annealing process to form metal silicide in the substrate on both sides of the gate structure. According to the invention, the metal layer on the surface of the substrate is contacted with the oxide layer to cause the sidetracking phenomenon of the metal silicide, namely, a gap is formed by removing part of the oxide layer, the gap plays a role of isolating the oxide layer from the metal layer, the barrier layer fills at least part of the gap to cover the metal layer on the surface of the substrate by three sides, the effect of isolating the oxide layer from the metal layer is also played, the metal layer is prevented from flowing in a thermal annealing process, and when the thermal annealing process is carried out, the metal layer is not contacted with the oxide layer to react to generate an unstable compound, so that the sidetracking phenomenon of the metal silicide is improved, the leakage current of a device is reduced, and the stability of the device is improved.
The above description is only a preferred embodiment of the present invention, and does not limit the present invention in any way. It will be understood by those skilled in the art that various changes, substitutions and alterations can be made herein without departing from the spirit and scope of the invention as defined by the appended claims.

Claims (10)

1. A method for manufacturing a semiconductor device, comprising:
providing a substrate, wherein a plurality of grid structures are formed on the substrate, side wall protection layers are formed on two sides of each grid structure, each side wall protection layer comprises an oxide layer and a nitride layer, the oxide layers cover two sides of each grid structure and extend to cover part of the surface of the substrate, the nitride layers are positioned on the oxide layers and cover the side surfaces and the top surfaces of the oxide layers, and the oxide layers are arranged between the nitride layers and the substrate at intervals;
forming a metal layer to cover the gate structure, the nitride layer and the surface of the substrate, and expose a part of the side surface of the oxide layer between the nitride layer and the substrate, wherein the metal layer on the surface of the substrate is in contact with the oxide layer;
etching to remove part of the oxide layer so as to form a gap between the nitride layer and the substrate, wherein the gap exposes the substrate to isolate the oxide layer and the metal layer;
forming a barrier layer to cover the metal layer and fill at least part of the gap so that the metal layer on the surface of the substrate is covered by three sides of the barrier layer; and the number of the first and second groups,
performing a thermal annealing process to form a metal silicide in the substrate on both sides of the gate structure.
2. The method for manufacturing a semiconductor device according to claim 1, wherein a material of the metal layer includes nickel.
3. The method of manufacturing a semiconductor device according to claim 2, wherein a thickness of the metal layer is less than a thickness of the oxide layer, and the thickness of the metal layer is 50A-200A.
4. The method for manufacturing a semiconductor device according to claim 2, wherein the metal layer is formed by a first physical vapor deposition process.
5. The method for manufacturing a semiconductor device according to claim 1, wherein a portion of the oxide layer is etched away by using a plasma precleaning process.
6. The method of manufacturing a semiconductor device according to claim 5, wherein an etching gas of the plasma preclean process includes NF 3 And NH 3
7. The method for manufacturing a semiconductor device according to claim 1, wherein a material of the barrier layer comprises titanium nitride.
8. The method for manufacturing a semiconductor device according to claim 7, wherein the barrier layer is formed by a second physical vapor deposition process.
9. The method for manufacturing a semiconductor device according to claim 1, wherein the step of performing the thermal annealing process comprises:
performing a first thermal annealing process to form the metal silicide in the substrate on both sides of the gate structure;
removing the barrier layer and the unreacted metal layer; and the number of the first and second groups,
and performing a second thermal annealing process to reduce the resistance of the metal silicide.
10. A semiconductor device characterized by being produced by the production method for a semiconductor device according to any one of claims 1 to 9.
CN202210844775.1A 2022-07-19 2022-07-19 Semiconductor device and method for manufacturing the same Active CN115084024B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202210844775.1A CN115084024B (en) 2022-07-19 2022-07-19 Semiconductor device and method for manufacturing the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202210844775.1A CN115084024B (en) 2022-07-19 2022-07-19 Semiconductor device and method for manufacturing the same

Publications (2)

Publication Number Publication Date
CN115084024A true CN115084024A (en) 2022-09-20
CN115084024B CN115084024B (en) 2022-11-18

Family

ID=83258986

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202210844775.1A Active CN115084024B (en) 2022-07-19 2022-07-19 Semiconductor device and method for manufacturing the same

Country Status (1)

Country Link
CN (1) CN115084024B (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116053210A (en) * 2023-03-30 2023-05-02 合肥新晶集成电路有限公司 Method for preparing semiconductor structure and semiconductor structure
CN117577643A (en) * 2024-01-19 2024-02-20 安徽大学 Semiconductor structure and manufacturing method thereof

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6277683B1 (en) * 2000-02-28 2001-08-21 Chartered Semiconductor Manufacturing Ltd. Method of forming a sidewall spacer and a salicide blocking shape, using only one silicon nitride layer
CN1497708A (en) * 2002-09-30 2004-05-19 ��ʿͨ��ʽ���� Manufacturing method of semiconductor device and manufactured semiconductor device
CN1612308A (en) * 2003-10-29 2005-05-04 三洋电机株式会社 Semiconductor device and method for making same
CN1694231A (en) * 2004-04-29 2005-11-09 台湾积体电路制造股份有限公司 Semiconductor device and method of making the same
US20080096364A1 (en) * 2006-10-18 2008-04-24 Spansion Llc Conformal liner for gap-filling
US20080124922A1 (en) * 2006-11-29 2008-05-29 Fujitsu Limited Method for fabricating semiconductor device
CN101197285A (en) * 2006-12-05 2008-06-11 中芯国际集成电路制造(上海)有限公司 Semiconductor device and its making method
CN101599459A (en) * 2008-06-03 2009-12-09 中芯国际集成电路制造(北京)有限公司 The manufacture method of semiconductor device
CN102856179A (en) * 2011-06-29 2013-01-02 中芯国际集成电路制造(上海)有限公司 Method for forming semiconductor device
US20150155173A1 (en) * 2013-12-04 2015-06-04 Canon Kabushiki Kaisha Method for manufacturing semiconductor device
CN108010915A (en) * 2017-12-06 2018-05-08 武汉新芯集成电路制造有限公司 Floating gate type flash memory SAB production methods and floating gate type flash memory structure

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6277683B1 (en) * 2000-02-28 2001-08-21 Chartered Semiconductor Manufacturing Ltd. Method of forming a sidewall spacer and a salicide blocking shape, using only one silicon nitride layer
CN1497708A (en) * 2002-09-30 2004-05-19 ��ʿͨ��ʽ���� Manufacturing method of semiconductor device and manufactured semiconductor device
CN1612308A (en) * 2003-10-29 2005-05-04 三洋电机株式会社 Semiconductor device and method for making same
CN1694231A (en) * 2004-04-29 2005-11-09 台湾积体电路制造股份有限公司 Semiconductor device and method of making the same
US20080096364A1 (en) * 2006-10-18 2008-04-24 Spansion Llc Conformal liner for gap-filling
US20080124922A1 (en) * 2006-11-29 2008-05-29 Fujitsu Limited Method for fabricating semiconductor device
CN101197285A (en) * 2006-12-05 2008-06-11 中芯国际集成电路制造(上海)有限公司 Semiconductor device and its making method
CN101599459A (en) * 2008-06-03 2009-12-09 中芯国际集成电路制造(北京)有限公司 The manufacture method of semiconductor device
CN102856179A (en) * 2011-06-29 2013-01-02 中芯国际集成电路制造(上海)有限公司 Method for forming semiconductor device
US20150155173A1 (en) * 2013-12-04 2015-06-04 Canon Kabushiki Kaisha Method for manufacturing semiconductor device
CN108010915A (en) * 2017-12-06 2018-05-08 武汉新芯集成电路制造有限公司 Floating gate type flash memory SAB production methods and floating gate type flash memory structure

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN116053210A (en) * 2023-03-30 2023-05-02 合肥新晶集成电路有限公司 Method for preparing semiconductor structure and semiconductor structure
CN117577643A (en) * 2024-01-19 2024-02-20 安徽大学 Semiconductor structure and manufacturing method thereof
CN117577643B (en) * 2024-01-19 2024-04-09 安徽大学 Semiconductor structure and manufacturing method thereof

Also Published As

Publication number Publication date
CN115084024B (en) 2022-11-18

Similar Documents

Publication Publication Date Title
EP0442718B1 (en) Method of manufacturing semiconductor device
CN115084024B (en) Semiconductor device and method for manufacturing the same
CN109427677B (en) Semiconductor structure and forming method thereof
JPH11251457A (en) Semiconductor device, memory cell and its forming method
KR20060128166A (en) Stacked semiconductor device and method for manufacturing the same
US8969209B2 (en) Method for removing oxide
JP4377721B2 (en) Manufacturing method of semiconductor device
JP2007027348A (en) Semiconductor device and its manufacturing method
CN109148296B (en) Semiconductor structure and forming method thereof
KR100511043B1 (en) Method for forming a metal silicide layer in a semiconductor device
US20090209096A1 (en) Method for manufacturing semiconductor device having decreased contact resistance
US7125809B1 (en) Method and material for removing etch residue from high aspect ratio contact surfaces
KR100811386B1 (en) Semiconductor device and method for fabricating the same
US6900118B2 (en) Method for preventing contact defects in interlayer dielectric layer
KR100899567B1 (en) Method for fabricating semiconductor device with gate-electrode including tungsten
CN110571266A (en) FINFET device and preparation method thereof
US7026250B2 (en) Method for reducing contact resistance of a semiconductor device
KR100717811B1 (en) Method for forming contact in semiconductor device
KR100811449B1 (en) Semiconductor device and the fabricating method thereof
KR100630769B1 (en) Semiconductor device and method of fabricating the same device
JP4668530B2 (en) Manufacturing method of semiconductor device
KR100548579B1 (en) Method of manufacturing semiconductor device
KR100853459B1 (en) Method of decrease contact resistance in semiconductor device
TW202322221A (en) Semiconductor device and manufacturing method thereof
KR100607817B1 (en) Method of manufacturing a semiconductor device

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant