CN114990524A - 用于形成包含钒和氮的层的方法和系统 - Google Patents

用于形成包含钒和氮的层的方法和系统 Download PDF

Info

Publication number
CN114990524A
CN114990524A CN202210176304.8A CN202210176304A CN114990524A CN 114990524 A CN114990524 A CN 114990524A CN 202210176304 A CN202210176304 A CN 202210176304A CN 114990524 A CN114990524 A CN 114990524A
Authority
CN
China
Prior art keywords
vanadium
substrate
nitrogen
layer
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202210176304.8A
Other languages
English (en)
Inventor
G.A.沃尼
R-J.张
谢琦
T.布兰夸特
E.希罗
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Publication of CN114990524A publication Critical patent/CN114990524A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02247Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by nitridation, e.g. nitridation of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • H10B41/35Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/75Electrodes comprising two or more layers, e.g. comprising a barrier layer and a metal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40117Multistep manufacturing processes for data storage electrodes the electrodes comprising a charge-trapping insulator

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Power Engineering (AREA)
  • Metallurgy (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Physical Vapour Deposition (AREA)
  • Inorganic Compounds Of Heavy Metals (AREA)

Abstract

公开了用于沉积包含金属和氮的层的方法和系统。这些层形成在衬底的表面上。沉积过程可以是循环沉积过程。可以结合这些层的示例性结构包括场效应晶体管、VNAND单元、金属‑绝缘体‑金属(MIM)结构和DRAM电容器。

Description

用于形成包含钒和氮的层的方法和系统
技术领域
本公开总体涉及半导体处理方法和系统的领域,并且涉及集成电路制造的领域。具体地,公开了适用于形成包含金属和氮的层的方法和系统。
背景技术
半导体器件(例如互补金属氧化物半导体(CMOS)器件)的尺寸缩小已经导致集成电路的速度和密度显著提高。然而,传统的器件缩放技术面临着未来技术节点的重大挑战。
例如,一个挑战是找到合适的导电材料用作大规模CMOS器件中的栅电极。可以使用各种栅极材料,例如金属,比如氮化钛层。然而,在一些情况下,当需要比氮化钛层获得的功函数值更高的功函数值时,例如在CMOS器件的PMOS区域中,需要用于栅电极的改进材料。具体而言,这种材料可以包括p-偶极偏移层,并且可以用于例如阈值电压调节。
此外,在诸如MIM(金属-绝缘体-金属)结构、DRAM电容器和VNAND单元的其它半导体器件中仍然需要新材料。
在本部分中阐述的任何讨论(包括问题和解决方案的讨论)已经包括在本公开中,仅是为了提供本公开的背景。这种讨论不应被视为承认任何或所有信息在本发明被制造时是已知的或以其他方式构成现有技术。
发明内容
本发明内容可以简化的形式介绍一些概念,这将在下面进一步详细描述。本发明内容不一定旨在标识所要求保护的主题的关键特征或必要特征,也不旨在用于限制所要求保护的主题的范围。
本公开的各种实施例涉及沉积包含金属和氮的材料的方法、使用这种方法形成的结构和器件以及用于执行该方法和/或用于形成该结构和/或器件的设备。层可用于各种应用,包括功函数调节层和阈值电压调节层。例如,它们可用于n-或p-沟道金属氧化物半导体场效应晶体管(MOSFETS)的栅电极。
本文描述了一种在衬底上形成包含金属氮化物的层的方法。该方法包括将衬底提供给反应室,在衬底上沉积金属氧化物,并将衬底暴露于含氮反应物。因此,金属氧化物转化为金属氮化物。
在一些实施例中,在衬底上沉积金属氧化物包括沉积循环。沉积循环包括金属前体脉冲和氧反应物脉冲。金属前体脉冲包括将衬底暴露于金属前体。氧反应物脉冲包括将衬底暴露于氧反应物。
在一些实施例中,氧反应物选自O2,H2O和H2O2
在一些实施例中,金属氮化物包括过渡金属氮化物,金属氧化物包括过渡金属氧化物。
在一些实施例中,金属氮化物包括氮化钒,金属氧化物包括氧化钒。
在一些实施例中,金属前体包括过渡金属前体。
在一些实施例中,金属前体选自卤化物、卤氧化物和有机金属化合物。
在一些实施例中,金属前体包括钒前体。
在一些实施例中,钒前体包括卤化钒。
在一些实施例中,卤化钒包括氯化钒。
在一些实施例中,金属前体包括β-二酮化钒。
在一些实施例中,该方法包括多个沉积循环。
在一些实施例中,后续沉积循环由沉积循环间吹扫分开。
在一些实施例中,金属前体脉冲和氧反应物脉冲由沉积循环内吹扫分开。
在一些实施例中,在衬底上沉积金属氧化物和将衬底暴露于含氮反应物由后金属氧化物沉积吹扫分开。
在一些实施例中,该方法包括执行多个超级循环。超级循环包括在衬底上沉积金属氧化物并将衬底暴露于含氮反应物。
在一些实施例中,后续超级循环由超级循环间吹扫分开。
在一些实施例中,将衬底暴露于含氮反应物包括向反应室提供氮反应物。氮反应物可以选自NH3,N2H2和N2
在一些实施例中,将衬底暴露于含氮反应物包括在反应室中产生含氮等离子体。
在一些实施例中,在反应室中产生含氮等离子体包括向反应室提供含氮等离子体气体。
在一些实施例中,含氮等离子体气体包括选自NH3,N2和N2H2的至少一种含氮气体。
在一些实施例中,执行该方法直到在衬底上形成厚度为至少0.2nm到至多5nm的含金属氮化物层。
在一些实施例中,衬底包括单晶硅晶片。
在一些实施例中,氧反应物包括H2O。
本文还描述了一种包括栅极接触的全环绕栅极场效应晶体管,该栅极接触包括根据本文所述方法形成的层。
本文还描述了一种MIM金属电极,其包括通过本文所述方法沉积的层。
本文还描述了一种VNAND接触,其包括通过本文所述方法沉积的层。
本文还描述了一种系统,该系统包括反应室、前体气体源、反应物气体源和控制器。前体气体源包括金属前体。金属前体包含钒。反应物气体源包括反应物。反应物包含氮。控制器配置成控制气体流入反应室,以通过本文所述的方法在衬底上形成层。
通过参考附图对某些实施例的以下详细描述,这些和其他实施例对于本领域技术人员来说将变得显而易见。本发明不限于所公开的任何特定实施例。
附图说明
当结合以下说明性附图考虑时,通过参考详细描述和权利要求,可以获得对本公开实施例的更完整理解。
图1示出了本文公开的方法的实施例。
图2示出了本文公开的方法的实施例。
图3示出了根据本公开附加示例的器件300的结构/一部分。
图4示出了根据本公开示例的另一结构400。
图5示出了根据本公开附加示例性实施例的系统500。
图6示出了示例性DRAM电容器600。
图7示出了VNAND单元的一部分,即接触和电荷俘获组件700。
图8示出了根据本公开示例的另一示例性结构800。
图9示出了与暴露于氨之后氧化钒转化为氮化钒相关的实验薄层电阻结果。
图10示出了使用本文所述方法的实施例执行的氧化钒沉积循环的实验结果。
图11示出了根据本公开实施例的氮化钒沉积过程的实验结果。
图12中的图a)示出了如图1或2所述的循环沉积过程的结果。图12中的图b)示出了根据本文所述方法的实施例沉积的层的薄层电阻数据。
应当理解,附图中的元件是为了简单和清楚而示出的,并不一定是按比例绘制的。例如,图中的一些元件的尺寸可能相对于其他元件被夸大,以有助于提高对本公开的所示实施例的理解。
具体实施方式
下面提供的方法、结构、器件和系统的示例性实施例的描述仅仅是示例性的,并且仅是为了说明的目的;以下描述不旨在限制本公开或权利要求的范围。此外,对具有所述特征的多个实施例的叙述并不旨在排除具有附加特征的其他实施例或者结合所述特征的不同组合的其他实施例。例如,各种实施例被阐述为示例性实施例,并且可以在从属权利要求中叙述。除非另有说明,否则示例性实施例或其部件可以组合或者可以彼此分开应用。
如下文更详细阐述,本公开的各种实施例提供了用于形成结构诸如栅电极结构的方法。示例性方法可用于例如形成CMOS器件或这种器件的一部分。尽管如此,除非另有说明,本发明不一定限于这些示例。
在本公开中,“气体”可以包括在常温常压(NTP)下为气体的材料、蒸发的固体和/或蒸发的液体,并且可以根据情况由单一气体或气体混合物构成。除了处理气体之外的气体,即不经过气体分配组件、其它气体分配装置等引入的气体,可以用于例如密封反应空间,并且可以包括密封气体,比如稀有气体。在某些情况下,术语“前体”可以指参与产生另一种化合物的化学反应的化合物,特别是构成膜基质或膜主骨架的化合物;术语“反应物”可以与术语前体互换使用。
如本文所用,术语“衬底”可以指可用于形成或可在其上形成器件、电路或膜的任何一种或多种底层材料。衬底可以包括块体材料,比如硅(例如单晶硅)、其他IV族材料,比如锗,或者其他半导体材料,比如II-VI族或III-V族半导体材料,并且可以包括覆盖或位于块体材料下面的一个或多个层。此外,衬底可以包括各种特征,比如形成在衬底层的至少一部分内或上的凹陷、凸起等。举例来说,衬底可以包括块体半导体材料和覆盖至少一部分块体半导体材料的绝缘或介电材料层。
如本文所用,术语“膜”和/或“层”可以指任何连续或非连续的结构和材料,例如通过本文公开的方法沉积的材料。例如,膜和/或层可以包括二维材料、三维材料、纳米颗粒、部分或全部分子层或者部分或全部原子层或者原子和/或分子簇。膜或层可以部分或全部由衬底表面上和/或嵌入衬底中和/或嵌入在该衬底上制造的器件中的多个分散原子构成。膜或层可以包括具有针孔和/或孤岛的材料或层。膜或层可以是至少部分连续的。膜或层可被图案化,例如被细分,并且可以包括在多个半导体器件中。
如本文所用,“结构”可以是或包括本文所述的衬底。结构可以包括覆盖衬底的一层或多层,例如根据本文所述方法形成的一层或多层。器件部分可以是或包括结构。
这里使用的术语“沉积过程”可以指将前体(和/或反应物)引入反应室以在衬底上沉积层。“循环沉积过程”是“沉积过程”的示例。
术语“循环沉积过程”或“循环的沉积过程”可以指将前体(和/或反应物)顺序引入反应室以在衬底上沉积层,并且包括诸如原子层沉积(ALD)、循环化学气相沉积(循环CVD)和包括ALD分量和循环CVD分量的混合循环沉积过程的处理技术。
术语“原子层沉积”可以指气相沉积过程,其中沉积循环(通常是多个连续的沉积循环)在处理室中进行。这里使用的术语原子层沉积也意味着包括当用前体/反应性气体和吹扫气体(例如惰性载气)的交替脉冲执行时由相关术语指定的过程,比如化学气相原子层沉积、原子层外延(ALE)、分子束外延(MBE)、气源MBE、有机金属MBE和化学束外延。
通常,对于ALD过程,在每个循环期间,前体被引入到反应室,并被化学吸附到沉积表面(例如可以包括来自先前ALD循环的先前沉积材料或其他材料的衬底表面),并形成不容易与附加前体反应的材料的单层或亚单层(即自限制反应)。此后,反应物(例如另一种前体或反应气体)可随后被引入处理室,用于将化学吸附的前体转化为沉积表面上的期望材料。反应物能够与前体进一步反应。在一个或多个循环中,例如在每个循环的每个步骤中,可以利用吹扫步骤,以从处理室中去除任何过量的前体和/或从反应室中去除任何过量的反应物和/或反应副产物。
如本文所用,术语“吹扫”可以指在两个彼此反应的气体脉冲之间向反应室提供惰性或基本惰性气体的过程。例如,可以在前体脉冲和反应物脉冲之间提供吹扫,例如使用惰性气体,从而避免或至少最小化前体和反应物之间的气相相互作用。应当理解,吹扫可以在时间上或空间上进行,或者两者都进行。例如,在时间吹扫的情况下,吹扫步骤可以例如以向反应室提供第一前体、向反应室提供吹扫气体和向反应室提供第二前体的时间顺序使用,其中其上沉积层的衬底不移动。例如在空间吹扫的情况下,吹扫步骤可以采取以下形式:将衬底从第一前体被连续供应到的第一位置通过吹扫气幕移动到第二前体被连续供应到的第二位置。
如本文所用,“前体”包括气体或可变成气态的材料,其可由包括可在本文所述的沉积过程中引入的元素的化学式表示。
术语“氮反应物”可以指气体或可变成气态的材料,其可由包括氮的化学式表示。在某些情况下,化学式包括氮和氢。在一些情况下,氮反应物不包括双原子氮。
术语“氧反应物”可以指气体或可变成气态的材料,其可由包含氧的化学式表示。在某些情况下,化学式包括氧和氢。
此外,在本公开中,变量的任意两个数字可以构成该变量的可行范围,并且指示的任何范围可以包括或排除端点。此外,指示的变量任何值(不管它们是否用“约”指示)可以指精确值或近似值,并且包括等同物,并且可以指平均值、中值、代表性值、多数值等。此外,在本公开中,术语“包括”、“由...构成”和“具有”在一些实施例中独立地指“通常或广义地包括”、“包含”、“基本由...构成”或“由...构成”。
在本公开中,任何定义的含义在一些实施例中不一定排除普通和习惯的含义。
本文描述了一种用于在衬底上形成包含金属氮化物的层的方法。该方法包括在反应室中提供衬底,并在衬底上沉积金属氧化物。应当理解,金属氧化物包括金属和氧。该方法还包括将衬底暴露于含氮反应物。通过将衬底暴露于含氮反应物,金属氧化物转化为金属氮化物。根据本方法形成的层非常有利,例如用于功函数调节、应力管理和电阻率调节的目的。
使用目前描述的方法形成的层可能是有用的,例如作为P-或N-MOSFET中的栅极叠层功函数调节金属。另外或可替代地,它们可以用于MIM金属电极和/或VNAND接触。
在一些实施例中,金属氮化物包括过渡金属氮化物,金属氧化物包括过渡金属氧化物。另外或替代地,金属氮化物包括稀土金属氮化物,并且金属氧化物包括稀土金属氧化物。在一些实施例中,金属氮化物包括氮化钒,金属氧化物包括氧化钒。
在一些实施例中,通过本文所述方法形成的层的钒含量为至少1.0原子%到至多99.0原子%,或至少3.0原子%到至多97.0原子%,或至少5.0原子%到至多95.0原子%,或至少10.0原子%到至多90.0原子%,或至少20.0原子%到至多80.0原子%,或至少30.0原子%到至多70.0原子%,或至少40.0原子%到至多60.0原子%。
在一些实施例中,通过本文所述方法形成的层的氮含量为至少1.0原子%到至多99.0原子%,或至少3.0原子%到至多97.0原子%,或至少5.0原子%到至多95.0原子%,或至少10.0原子%到至多90.0原子%,或至少20.0原子%到至多80.0原子%,或至少30.0原子%到至多70.0原子%,或至少40.0原子%到至多60.0原子%。
沉积包含金属和氧的层可以包括执行循环沉积过程。循环沉积过程可以包括循环CVD、ALD或混合循环CVD/ALD过程。例如,在一些实施例中,与CVD过程相比,特定ALD过程的生长速率可能较低。提高生长速率的一种方法可以是在比ALD过程中通常采用的沉积温度更高的沉积温度下操作,导致化学气相沉积过程的某些部分,即非自限性气相反应,但仍利用反应物的顺序引入。这种过程可被称为循环CVD。在一些实施例中,循环CVD过程可以包括将两种或更多种前体或反应物引入反应室,其中在反应室中的两种或更多种反应物之间可以有一段重叠时间,从而导致沉积的ALD分量和沉积的CVD分量。这被称为混合过程。根据进一步示例,循环沉积过程可以包括一种反应物或前体的连续流动和第二种反应物或前体进入反应室的周期性脉冲。
在一些实施例中,氮反应物被连续地提供给反应室。换句话说,在一些实施例中,氮反应物可以恒定地和非间歇地提供给反应室。因此,在一些实施例中,可以在将金属氧化物沉积在衬底上的步骤期间,以及在将衬底暴露于含氮反应物的步骤期间,将氮反应物提供给反应室。在这样的实施例中,氧反应物和金属前体被间歇地适当提供给反应室,即以在时间和/或空间上至少部分分开的脉冲形式,如本文别处所述。向反应室连续提供氮反应物可以有利地提高生长速率。
根据本公开的一些示例,沉积金属氧化物包括热沉积过程。在这些情况下,沉积过程不包括使用等离子体来形成用于沉积过程的活化物质。
在一些实施例中,在衬底上沉积金属氧化物包括执行沉积循环。沉积循环包括金属前体脉冲和氧反应物脉冲。金属前体脉冲包括将衬底暴露于金属前体。氧反应物脉冲包括将衬底暴露于氧反应物。
在一些实施例中,在纵横比(高度/宽度)大于约2、大于约5、大于约10、大于约25、大于约50、大于约100或介于约10和100之间或约5至约25的结构中/上,如此形成的层具有等于或大于约50%、或大于约80%、或大于约90%、或约95%、或约98%、或约99%或更大的台阶覆盖率。
在一些实施例中,金属前体脉冲持续至少0.25秒到至多4.0秒,或至少0.5秒到至多2.0秒,或至少1.0秒到至多1.5秒。在一些实施例中,氧反应物脉冲持续至少0.25秒到至多4.0秒,或至少0.5秒到至多2.0秒,或至少1.0秒到至多1.5秒。
在一些实施例中,该方法包括多个沉积循环。因此,可以在衬底上形成具有期望厚度的金属氮化物层。具体地,该方法可以包括在将衬底暴露于含氮反应物之前执行特定次数的沉积循环。因此,通过将衬底暴露于含氮反应物,在金属氧化物转化为金属氮化物之前,可以在衬底上沉积预定量的金属氧化物。应该理解的是,在衬底经受含氮反应物之前所进行的沉积循环的确切次数可以取决于沉积的具体材料。在一些实施例中,该方法包括每次暴露于氮反应物时执行至少2个沉积循环到至多10个沉积循环。在一些实施例中,该方法包括对于每次氮反应物暴露执行至少3个沉积循环到至多8个沉积循环。在一些实施例中,该方法包括对于每次氮反应物暴露执行至少4个沉积循环到至多6个沉积循环。
在一些实施例中,后续沉积循环由沉积循环间吹扫分开。在一些实施例中,沉积循环间吹扫的持续时间为至少0.025秒到至多2.0秒,或至少0.05秒到至多0.8秒,或至少0.1秒到至多0.4秒,或至少0.2秒到至多0.3秒。
在一些实施例中,金属前体脉冲和氧反应物脉冲由沉积循环内吹扫分开。在一些实施例中,沉积循环内吹扫的持续时间为至少0.025秒到至多2.0秒,或至少0.05秒到至多0.8秒,或至少0.1秒到至多0.4秒,或至少0.2秒到至多0.3秒。
在一些实施例中,在衬底上沉积金属氧化物包括沉积厚度为至少1.0nm到至多10.0nm,或至少2.0nm到至多5.0nm,例如至少4.0nm的金属氧化物层。当本方法一方面包括交替沉积具有这种厚度的金属氧化物层,并且将衬底暴露于含氮反应物时,可以发生从氧化物到氮化物的有效转化。
在一些实施例中,在衬底上沉积金属氧化物和将衬底暴露于含氮反应物由后金属氧化物沉积吹扫分开。在一些实施例中,后金属氧化物沉积吹扫的持续时间为至少0.025秒到至多2.0秒,或至少0.05秒到至多0.8秒,或至少0.1秒到至多0.4秒,或至少0.2秒到至多0.3秒。
在一些实施例中,将衬底暴露于含氮反应物持续至少0.25秒到至多4.0秒,或至少0.5秒到至多2.0秒,或至少1.0秒到至多1.5秒。
应当理解,在不同方法步骤之间提供吹扫步骤适当地允许最小化不同前体和反应物之间的寄生反应。应当理解,在吹扫过程中,在反应室中不产生等离子体。
在一些实施例中,该方法包括执行多个超级循环。超级循环包括在衬底上沉积金属氧化物,并将衬底暴露于含氮反应物。在一些实施例中,后续超级循环由超级循环间吹扫分开。
本文所述方法中包含的超级循环总数尤其取决于所需的总层厚。在一些实施例中,该方法包括从至少1个超级循环到至多100个超级循环,或从至少2个超级循环到至多80个超级循环,或从至少3个超级循环到至多70个超级循环,或从至少4个超级循环到至多60个超级循环,或从至少5个超级循环到至多50个超级循环,或从至少10个超级循环到至多40个超级循环,或从至少20个超级循环到至多30个超级循环。在一些实施例中,该方法包括至多100个超级循环,或至多90个超级循环,或至多80个超级循环,或至多70个超级循环,或至多60个超级循环,或至多50个超级循环,或至多40个超级循环,或至多30个超级循环,或至多20个超级循环,或至多10个超级循环,或至多5个超级循环,或至多4个超级循环,或至多3个超级循环,或至多2个超级循环,或单个超级循环。
在一些实施例中,如此形成的层的厚度为至少0.2nm到至多5nm,或至少0.3nm到至多4nm,或至少0.4nm到至多3nm,或至少0.5nm到至多2nm,或至少0.7nm到至多1.5nm,或至少0.9nm到至多1.0nm。
在一些实施例中,如此形成的层具有至多5.0nm的厚度,或至多4.0nm的厚度,或至多3.0nm的厚度,或至多2.0nm的厚度,或至多1.5nm的厚度,或至多1.0nm的厚度,或至多0.8nm的厚度,或至多0.6nm的厚度,或至多0.5nm的厚度,或至多0.4nm的厚度,或至多0.3nm的厚度,或至多0.2nm的厚度,或至多0.1nm的厚度。
在一些实施例中,金属前体包括过渡金属前体。在一些实施例中,金属前体可以选自卤化物、卤氧化物和有机金属化合物。
在一些实施例中,金属前体包括钒前体。合适的钒前体包括卤化物和有机金属钒化合物。因此,在一些实施例中,钒前体包括卤化钒,例如氯化钒、氟化钒、溴化钒或碘化钒。
在一些实施例中,钒前体可以包括β-二酮化钒。示例性β-二酮化钒化合物包括VO(acac)2,VO(thd)2,V(acac)3,V(thd)3(分别命名为氧代双(2,4-戊二酮基)钒(IV)、氧代双(2,2,6,6-四甲基-3,5-戊二酮基)钒(IV)、三(2,4-戊二酮基)钒(IV)和三(2,2,6,6-四甲基-3,5-戊二酮基)钒(IV))等。
钒前体中包含的钒可以具有任何合适的氧化态。例如,在一些实施例中,包含在钒前体中的钒可以具有II、III、IV的氧化态;或它们的混合物。在一些实施例中,钒前体包括选自氯化钒(II)、氯化钒(III)、氯化钒(IV)的一种或多种化合物;和它们的混合物。
在一些实施例中,钒前体包括卤化钒、卤氧化钒和钒有机金属化合物中的一种或多种。因此,在一些实施例中,钒前体包括卤化钒。在一些实施例中,钒前体包括氧卤化钒。在一些实施例中,钒前体包括氧氯化钒。在一些实施例中,钒前体包括钒醇盐。在一些实施例中,钒前体包括三乙氧基钒(V)。在一些实施例中,钒前体包括氧化三丙氧钒(V)。在一些实施例中,钒前体包括钒有机金属化合物。在一些实施例中,钒前体包括VCl4。在一些实施例中,钒前体包括β-二酮化钒。在一些实施例中,钒前体包括乙酰丙酮化钒(III)。
在一些实施例中,钒前体可以包括一种或多种钒卤化物、钒氧卤化物、β-二酮化钒化合物、钒环戊二烯基化合物、钒醇盐化合物、钒二烷基酰氨基化合物等。
示例性钒二烷基酰氨基化合物包括V(NMe2)4,V(NEt2)4和V(NEtMe)4(分别命名为四(二甲基氨基)钒(IV)、四(二乙基酰氨基)钒(IV)和四(乙基甲基酰氨基)钒(IV))。
示例性钒醇盐化合物包括V(OMe)4,V(OEt)4,V(OiPr)4,V(OtBu)4,VO(OMe)3,VO(OEt)3,VO(OiPr)3和VO(OtBu)3(分别命名为四(甲氧基)钒(IV)、四(乙氧基)钒(IV)、四(异丙氧基)钒(IV)、四(叔丁氧基)钒(IV)、氧代三(甲氧基)钒(IV)、氧代三(乙氧基)钒(IV)、氧代三(异丙氧基)钒(IV)和氧代三(叔丁氧基)钒(IV))。另外的钒醇盐化合物包括这些化合物的变体,其中使用了其他烷氧基配体。
示例性钒环戊二烯基化合物包括VCp2Cl2,VCp2,VCp2(CO)4(分别命名为双氯双(环戊二烯基)钒(IV)、双(环戊二烯基)钒(II)和环戊二烯基钒四羰基))。另外的示例性钒环戊二烯基化合物包括这些化合物的变体,其中Cp是未取代的或带有一个或多个烷基,例如MeCp、EtCp、iPrCp等。
作为特定的示例,卤化钒可以选自氟化钒、氯化钒、溴化钒和碘化钒中的一种或多种。卤化钒可以仅包括钒和一种或多种卤素,例如四氯化钒等。卤氧化钒可选自一种或多种卤氧化钒,例如氟氧化钒、氯氧化钒、溴氧化钒和碘氧化钒中的一种或多种。卤氧化钒可以仅包括钒、氧和一种或多种卤化物。举例来说,卤化钒和卤氧化物可以选自VCl4,VBr4,VI4,VOCl4,VOBr3,VOI3(分别命名为四氯化钒、四溴化钒、四碘化钒、四氯化氧钒、三溴化氧钒和三碘化氧钒)中的一种或多种。例如,钒前体可以包括VCl4
相对于使用其它前体(例如金属有机钒前体)的方法,使用卤化钒前体可能是有利的,因为卤化钒前体可以相对便宜,可以得到杂质(例如碳)浓度较低的钒层,和/或与使用金属有机或其它钒前体的过程相比,使用这种前体的过程可以更加可控。此外,与使用有机金属钒前体的方法相比,使用卤化钒的过程可能更容易扩大规模。在一些实施例中,使用无卤化物的前体可能是有利的,例如当希望最小化或避免暴露的电介质层(例如高k电介质层)的蚀刻时。
示例性氮反应物可以选自氨(NH3)、肼(N2H4)、其他含氮和氢的气体(例如氮气和氢气的混合物)等中的一种或多种。示例性含氮和氢的气体包括合成气体。氮反应物可以包括或由氮和氢构成。在一些情况下,氮反应物不包括双原子氮。在一些实施例中,氮反应物包括氨和/或肼。在一些实施例中,氮反应物包括氨。在一些实施例中,氮反应物包括肼。在一些实施例中,氮反应物包括选自NH3,N2H2和N2的一种或多种气体。
在一些实施例中,将衬底暴露于含氮反应物包括将衬底暴露于氮等离子体,即暴露于使用含氮的等离子体气体的等离子体。应当理解,术语“氮反应物”和“含氮反应物”可以互换使用。换句话说,在一些实施例中,将衬底暴露于含氮反应物包括在反应室中产生含氮等离子体。合适地,在反应室中产生含氮等离子体可以包括向反应室提供含氮等离子体气体。合适的含氮等离子体气体包括选自NH3,N2和N2H2的至少一种含氮气体。因此,将衬底暴露于含氮反应物可以包括直接氮等离子体处理。
在一些实施例中,将衬底暴露于含氮反应物包括在远程等离子体发生器中产生氮等离子体。远程等离子体发生器适当地位于反应室的外部,并且可以邻近反应室,或者可以位于离反应室预定距离处。远程等离子体发生器可以通过诸如不锈钢管的反应物种导管与反应室可操作地连接。因此,反应物种例如自由基和离子中的至少一种可以从远程等离子体发生器被带到反应室。可选地,反应物种导管可以包括一个或多个网板。网板有利地阻挡带电物质,即离子,而让不带电的活性物质比如自由基通过。因此,衬底可以有利地仅暴露于自由基。
合适的氧反应物包括含氧气体或化合物,例如O2,H2O和H2O2。在一些实施例中,氧反应物包括H2O。
在一些实施例中,氧反应物包括醇,例如直链或支链烷基醇。在一些实施例中,氧反应物包括C1至C4的直链或支链烷基醇。在一些实施例中,氧反应物包括甲醇。在一些实施例中,氧反应物包括乙醇。
在一些实施例中,金属氧化物在以下的衬底温度下沉积:低于800℃,或至少400℃到至多500℃,或至少300℃到至多400℃,或至少200℃到至多300℃,或至少100℃到至多200℃,或至少150℃到至多500℃,或至少200℃到至多400℃,或至少250℃到至多350℃。
在一些实施例中,当衬底暴露于氮反应物时,衬底保持在以下温度:低于800℃,或至少20℃到至多800℃,或至少200℃到至多700℃,或至少300℃到至多600℃,或至少400℃到至多500℃。在一些实施例中,当衬底暴露于氮反应物时,衬底保持在以下温度:至少100℃到至多200℃,或至少200℃到至多300℃,或至少300℃到至多400℃,或至少400℃到至多500℃,或至少500℃到至多600℃,或至少600℃到至多700℃,或至少700℃到至多800℃。
在一些实施例中,目前描述的方法在以下压力下进行:小于760托或至少0.2托到至多760托、至少1托到至多100托或至少1托到至多10托。在一些实施例中,该层在以下的压力下沉积:至多10.0托的压力、或至多5.0托的压力、或至多3.0托的压力、或至多2.0托的压力、或至多1.0托的压力、或至多0.1托的压力、或至多10-2托的压力、或至多10-3托的压力、或至多10-4托的压力、或至多10-5托的压力、或至少0.1托到至多10托的压力、或至少0.2托到至多5托的压力、或至少0.5托到至多2.0托的压力。
在下面的段落中,给出了1升反应室容积和300毫米晶片的过程条件。技术人员理解这些值可以容易地扩展到其他反应室体积和晶片尺寸。
在一些实施例中,前体和/或反应物被载气夹带。在一些实施例中,以至少0.2到至多2.0slpm,或至少0.3到至多1.5slpm,或至少0.4到至多1.0slpm,或至少0.5到至多0.7slpm的流量提供载气。在一些实施例中,N2用作载气。在一些实施例中,惰性气体用作载气。合适的稀有气体包括He,Ne,Ar和Xe。
在一些实施例中,该方法还包括固化金属氮化物的步骤。在一些实施例中,可以在沉积了整个金属氮化物层之后进行固化。可替代地,固化可以循环进行。例如,本文所述的方法可以包括在将衬底暴露于含氮反应物的每个步骤之后的固化步骤。可替代地,本文所述的方法可以包括在将衬底暴露于含氮反应物的每隔一个步骤之后的固化步骤。可替代地,本文所述的方法可包括在将衬底暴露于含氮反应物的步骤的至少1%到至多2%,或至少2%到至多5%,或至少5%到至多10%,或至少10%到至多20%,或至少20%到至多50%,或至少50%到至多100%之后的固化步骤。
在一些实施例中,该方法还包括在金属氧化物层转化为金属氮化物之前固化其的步骤。在一些实施例中,固化可以在所有金属氧化物已经沉积之后进行一次。可替代地,固化可以循环进行。例如,本文所述的方法可以包括在沉积金属氧化物层的每个步骤之后的固化步骤。可替代地,本文所述的方法可以包括在沉积金属氧化物层的每隔一个步骤之后的固化步骤。可替代地,本文所述的方法可以包括在沉积金属氧化物层的步骤的至少1%到至多2%,或至少2%到至多5%,或至少5%到至多10%,或至少10%到至多20%,或至少20%到至多50%,或至少50%到至多100%之后的固化步骤。
固化步骤适当地包括使衬底经受一种能量形式,例如热能、辐射和粒子中的至少一种。示例性固化步骤包括将衬底暴露于UV辐射。另外或可替代地,固化步骤可以包括将衬底暴露于直接等离子体,例如惰性气体等离子体,比如氩等离子体。另外或可替代地,固化步骤可以包括将衬底暴露于一种或多种活性物质,比如在远程等离子体中产生的离子和/或自由基,例如远程惰性气体等离子体,比如远程氩等离子体。另外或可替代地,固化步骤可以包括将衬底暴露于光子,例如UV光子、可见光谱中的光子、IR光子和微波光谱中的光子中的至少一种。另外或可替代地,固化步骤可以包括加热衬底。
在一些实施例中,执行该方法直到在衬底上形成厚度为至少0.2nm到至多5nm的含金属氮化物层。
单晶硅晶片可以是合适的衬底。其他衬底也可能是合适的,例如单晶锗晶片、砷化镓晶片、石英、蓝宝石、玻璃、钢、铝、绝缘体上硅衬底、塑料等。
目前描述的方法可以有利地用于用诸如氮化钒的金属氮化物填充间隙特征。示例性间隙包括凹槽、接触孔、通孔、沟槽等。因此,本文还提供了一种用于填充间隙的方法。该方法包括在反应室中提供设置有间隙特征的衬底,通过本文所述的方法在衬底上沉积金属氧化物,并将衬底暴露于含氮反应物。应当理解,金属氧化物优先沉积在间隙特征的底部。换句话说,与间隙特征的上部相比,更多的金属氧化物沉积在间隙特征的下部/更深部分中。应该理解,间隙特征的下部指的是间隙特征的相对远离衬底表面的部分,间隙特征的上部指的是间隙特征的与间隙特征的下部/较深部分相比更靠近衬底表面的部分。在间隙特征的底部优先沉积金属氧化物可以例如通过采用本文所述的循环沉积过程来完成,例如循环沉积过程,其中金属前体包括钒前体比如VCl4,并且其中氧反应物包括含氧和氢的化合物比如H2O。合适的氮反应物包括含氮和氢的化合物比如NH3。这种间隙填充金属氮化物层例如间隙填充氮化钒层可以用作例如半导体器件中的电极。示例性半导体器件包括晶体管和DRAM电容器。
根据本公开的另外实施例,可以使用这里描述的方法和/或结构来形成器件或其一部分。器件可以包括衬底、绝缘层或电介质层、覆盖在绝缘层或电介质层上的含钒和氮的层,以及可选地覆盖在含钒和氮的层上的附加金属层。器件可以是例如MOSFET例如pMOSFET或nMOSFET或者形成其一部分。因此,进一步描述了全环绕栅极场效应晶体管。它包括栅极接触,该栅极接触包括根据这里描述的方法形成的层。
在一些实施例中,pMOSFET器件可以是全环绕栅极pmos场效应晶体管。因此,还提供了全环绕栅极pmos场效应晶体管,其包括通过这里描述的方法沉积的阈值电压调节层。阈值电压调节层包括钒和氮。合适地,阈值电压偏移层包含在场效应晶体管中包含的栅电极中。
在一些实施例中,高k电介质层位于氧化硅层和阈值电压调节层之间。
本文还提供了金属-绝缘体-金属(MIM)金属电极,其包括含钒和氮的层。有利地,该层根据这里描述的方法形成。
本文还提供了包括含钒和氮的层的竖直NAND(VNAND)接触。有利地,根据本文所述的在反应室中的衬底上形成层的方法来形成层。
本文还提供了动态随机存取存储器(DRAM)单元电极,其包括含钒和氮的层。有利地,该层根据这里描述的方法形成。
本文还提供了部分或全部衬有包含钒和氮的层的线。有利地,含钒和氮的层通过本文所述的方法形成。在一些实施例中,线包括铜。在一些实施例中,线包括钨。在一些实施例中,线包括由或基本由铜和/或钨构成的芯。有利地,根据本文所述的在反应室中的衬底上形成层的方法来形成层。应当理解,术语“线”可以指在集成电路中经常遇到的一个互连或多个互连。
还描述了一种系统,其包括反应室、前体气体源、反应物气体源和控制器。前体气体源包括金属前体。金属前体包含钒。反应物气体源包括反应物。反应物包括氮气。控制器配置为控制气体流入反应室,以形成覆盖衬底表面的层。该层包含钒和氮。在一些实施例中,该层通过本文所述的方法沉积。
图1示出了这里描述的方法的实施例的示意图。方法100可用于例如形成适用于NMOS、PMOS和/或CMOS器件的栅电极结构,例如用作金属氧化物半导体的栅、源或漏电极中的p-偶极转换器。然而,除非另有说明,目前描述的方法不限于这些应用。该方法包括将衬底定位在衬底支撑件上的步骤111。衬底支撑件位于反应室中。合适的衬底支撑件包括底座、基座等。然后在衬底上沉积金属氧化物112。可选地,然后通过后沉积吹扫来吹扫115反应室。例如,可以通过惰性气体进行吹扫。示例性稀有气体包括He,Ne,Ar,Xe和Kr。可替代地,吹扫可以包括将衬底输送通过吹扫气幕。在吹扫过程中,在衬底与下一个反应化学物质接触之前,多余的化学物质和反应副产物(如果有的话)可以从衬底表面或反应室去除,例如通过吹扫反应空间或通过移动衬底。
然后将衬底暴露于含氮反应物116。可选地,然后通过后氮反应物吹扫来吹扫117反应室。可替代地,氮反应物吹扫可以包括将衬底输送通过吹扫气幕。可选地,将在衬底上沉积金属氧化物112和使衬底经受含氮反应物116的步骤重复119一次或多次,从而形成重复119一次或多次的超级循环。继续该方法,直到在衬底上形成具有预定厚度的金属氮化物层,此时该方法结束118。
图2示出了本文所述方法的实施例的另一示意图。该方法包括将衬底定位在衬底支撑件上的步骤211。然后,该方法包括执行前体脉冲212,其中前体被提供给反应室。可选地,在前体脉冲212之后,通过后前体吹扫213来吹扫反应室。可替代地,后前体吹扫213可以包括将衬底输送通过吹扫气幕。然后,该方法包括执行氧反应物脉冲214,其中衬底暴露于氧反应物。可选地,氧反应物脉冲214之后可以是后氧反应物吹扫215,其中可以通过吹扫气体吹扫反应室。可替代地,后氧反应物吹扫215可以包括将衬底输送通过吹扫气幕。前体脉冲212和氧反应物脉冲214一起形成沉积循环。可选地,该方法包括随后执行多个沉积循环,即可选地,该方法包括重复220前体脉冲212和氧反应物脉冲214一次或多次。因此,沉积了具有预定厚度的金属氧化物层。在预定数量的沉积循环之后,该方法包括将衬底暴露于氮反应物216。因此,金属氧化物层转变成金属氮化物层。预定数量的沉积循环的顺序和将衬底暴露于氮反应物的步骤216一起形成超级循环。可选地,该方法包括重复219超级循环一次或多次,以获得具有期望厚度的金属氮化物层。在金属氮化物层已经达到期望的厚度之后,该方法结束218。
根据图1或2的方法可以包括在反应室内将衬底加热到期望的沉积温度。在一些实施例中,根据图1或2的方法包括将衬底加热到低于800℃的温度。例如,在本公开的一些实施例中,将衬底加热到沉积温度可以包括将衬底加热到约20℃和约800℃之间、约100℃和约500℃之间、约150℃和约450℃之间、或者约200℃和约400℃之间的温度。
除了控制衬底的温度,还可以调节反应室内的压力。例如,在本公开的一些实施例中,在根据图1或2的方法期间,反应室内的压力可以小于760托,或在0.2托和760托之间,约1托和100托之间,或约1托和10托之间,或小于3托,或小于2托,或小于1托。
图3示出了根据本公开附加示例的器件300的结构/一部分。器件或结构300包括衬底302、电介质或绝缘材料305以及根据本文所述方法形成的层308。在所示的示例中,结构300还包括附加导电层310。
衬底302可以是或包括这里描述的任何衬底材料。
电介质或绝缘材料305可以包括一个或多个电介质或绝缘材料层。举例来说,电介质或绝缘材料305可以包括界面层304和沉积在界面层304上的高k材料306。在一些情况下,界面层304可能不存在或者可能不存在到可感知的程度。界面层304可以包括氧化物,比如氧化硅,其可以使用例如化学氧化过程或氧化物沉积过程形成在衬底302的表面上。高k材料306可以是或者可以包括例如介电常数大于约7的金属氧化物。在一些实施例中,高k材料的介电常数高于氧化硅的介电常数。示例性高k材料包括氧化铪(HfO2)、氧化钽(Ta2O5)、氧化锆(ZrO2)、氧化钛(TiO2)、硅酸铪(HfSiOx)、氧化铝(Al2O3)或氧化镧(La2O3)中的一种或多种,或者它们的混合物/叠层。
包含金属氮化物的层308可以根据这里描述的方法形成。当使用循环沉积过程形成层308时,通过例如在一个或多个沉积循环期间控制前体和/或反应物的量和/或相应脉冲时间,其成分的浓度可以从层308的底部到层308的顶部变化。在一些情况下,包含金属氮化物的层308可以具有化学计量成分。该层308的功函数和其他属性可以通过改变其成分来改变。
包含金属氮化物的层308可以包含杂质,例如卤化物、氢等。在一些实施例中,杂质含量可以单独或组合地小于1原子%、小于0.2原子%、或小于0.1原子%、或小于0.05原子%。
包含金属氮化物的层308的厚度可以根据应用而变化。举例来说,该层308的厚度可以小于5nm或约0.2nm至约5nm,或约0.3nm至约3nm,或约0.3nm至约1nm。当用于例如控制pMOSFETS中的阈值电压时,包含金属氮化物的层308可以相对较薄,这对于包括功函数和/或电压阈值调节层的许多应用来说可能是期望的。示例性厚度为0.1至5.0nm、0.2至4.0nm、0.3至3.0nm、0.4至2.0nm、0.5至1.5nm、0.7nm至1.3nm或0.9nm至1.1nm。在一些实施例中,该层可以具有小于0.1nm的厚度。应当理解,这些层不一定是连续的,甚至可以由多个孤立的原子簇和/或多个孤立的原子构成。
另外或可替代地,包含金属氮化物的层308可以形成厚度小于<5nm、<4nm、<3nm、<2nm、<1.5nm、<1.2nm、<1.0nm或<0.9nm的连续膜,例如使用根据图1或根据图2的方法。包含金属氮化物的层308可以相对平滑,具有相对低的晶界形成。在一些情况下,包含金属氮化物的层308可以是非晶的,或者可以包括非晶区域。另外或可替代地,该层308可以包括相对较低的柱状晶体结构(与TiN相比)。在小于10nm的厚度下,包含金属氮化物的示例性层308的RMS粗糙度可以是<1.0nm、<0.7nm、<0.5nm、<0.4nm、<0.35nm或<0.3nm。
包含金属氮化物的层308的功函数可以>4.6eV、>4.7eV、>4.8eV、>4.9eV、>4.95eV或>5.0eV。与不包括这种层308的栅极接触相比,使用这种层的栅极接触的功函数值可以偏移约30meV至约400meV,或约30meV至约200meV,或约50meV至约100meV。
附加导电层310可以包括例如金属,比如难熔金属等。
图4示出了根据本公开示例的另一结构400。结构400适用于全环绕栅极场效应晶体管(GAA FET)(也称为横向纳米线FET)器件等。
在所示示例中,结构400包括半导体材料402、电介质材料404、包含钒和氮的层406以及导电层408。结构400可以形成在衬底上,包括这里描述的任何衬底材料。如图所示,含钒和氮的层406可以位于导电层408和介电材料406之间。可替代地,包含钒和氮的层406可以位于导电层408内部(实施例未示出)。
半导体材料402可以包括任何合适的半导体材料。例如,半导体材料402可以包括IV族、III-V族或II-VI族半导体材料。举例来说,半导体材料402可以包括硅。
电介质材料404可以与电介质或绝缘材料205相同或相似。图4的含钒和氮的层406可以类似于在图2的情况下描述的含钒和氮210的层208。
图5示出了根据本公开的另外示例性实施例的系统500。系统500可用于执行本文所述的方法和/或形成本文所述的结构或器件部分。
在图示的示例中,系统500包括一个或多个反应室502、前体气体源504、反应物气体源506、吹扫气体源508、排气装置510和控制器512。
反应室502可以包括任何合适的反应室,例如ALD或CVD反应室。
前体气体源504可以包括容器和一种或多种如本文所述的前体—单独地或与一种或多种载气(例如惰性气体)混合。反应物气体源506可以包括容器和一种或多种如本文所述的反应物—单独地或与一种或多种载气混合。吹扫气体源508可以包括一种或多种如本文所述的惰性气体。尽管示出了四个气体源504-508,但系统500可以包括任何合适数量的气体源。气体源504-508可以经由管线514-518耦合到反应室502,每个管线可以包括流量控制器、阀、加热器等。
排气装置510可以包括一个或多个真空泵。
控制器512包括电子电路和软件,以选择性地操作系统500中包括的阀、歧管、加热器、泵和其他部件。这种电路和部件用于从相应源504-508引入前体、反应物和吹扫气体。控制器512可以控制气体脉冲序列的定时、衬底和/或反应室的温度、反应室内的压力以及各种其他操作,以提供系统500的正确操作。控制器512可以包括控制软件,以电动或气动地控制阀来控制前体、反应物和吹扫气体流入和流出反应室502。控制器512可以包括执行某些任务的模块,比如软件或硬件部件,例如FPGA或ASIC。模块可以有利地配置为驻留在控制系统的可寻址存储介质上并配置为执行一个或多个过程。
系统500的其他配置是可能的,包括不同数量和种类的前体和反应物源以及吹扫气体源。此外,应当理解,有许多阀、导管、前体源和吹扫气体源的布置可用于实现选择性地将气体供给到反应室502中的目标。此外,作为系统的示意性表示,为了简化说明,已经省略了许多部件,并且这些部件可以包括例如各种阀、歧管、吹扫器、加热器、容器、通风口和/或旁路。
在反应器系统500的操作期间,诸如半导体晶片(未示出)的衬底从例如衬底处理系统转移到反应室502。一旦衬底转移到反应室502,来自气体源504-508的一种或多种气体比如前体、反应物、载气和/或吹扫气体被引入反应室502。
图6示出了示例性DRAM电容器600。在所示实施例中,它包括顶部电极610、670,该顶部电极包括两个部分,即内壳和外壳。尽管如此,顶部电极可以仅包括一个部分,或者可以包括多于两个部分,例如三个或更多个部分。应当理解,图6的实施例中的顶部电极610、670的两个部分彼此电连接(连接未示出),即应当理解,在正常操作期间,它们保持在相同或近似相同的电势。顶部电极610、670包括含有金属和氮例如钒和氮的层。优选地,该层根据本文公开的方法沉积。顶部电极610、670可以例如具有以下厚度:至少0.5nm至5.0nm,或至少1.0nm到至多4.0nm,或至少2.0nm到至多3.0nm,或至少0.5nm到至多2.5nm,或至少0.6nm到至多2.0nm,或至少0.7nm到至多1.5nm。DRAM电容器600还包括底部电极640。底部电极640包括含金属和氮例如钒和氮的层。在一些实施例中,底部电极640的成分等于顶部电极610、670的成分。可替代地,底部电极640的成分可以不同于顶部电极610、670的成分。底部电极640可以例如具有以下厚度:至少1.0nm到至多10.0nm、或至少3.0nm到至多7.0nm、或至少0.5nm至5.0nm、或至少1.0nm到至多4.0nm、或至少2.0nm到至多3.0nm、或至少0.5nm到至多2.5nm、或至少0.6nm到至多2.0nm、或至少0.7nm到至多1.5nm。底部电极640通过一个或多个电介质层620、630与顶部电极(610的外壳分离。所示实施例的特征在于两个电介质层620、630。一个或多个电介质层620、630可以包括高k电介质。例如,高k电介质可以从包括氧化铪(HfO2)、氧化钽(Ta2O5)、氧化锆(ZrO2)、氧化钛(TiO2)、硅酸铪(HfSiOx)、氧化铝(Al2O3)或氧化镧(La2O3)及其混合物/叠层的列表中选择。在一些实施例中,电介质层620具有与电介质层630相同的成分。在一些实施例中,电介质层620具有与电介质层630不同的成分。两个电介质层620、630的组合厚度可以是例如从至少0.5nm到至多10.0nm,或至少1.0nm到至多8.0nm,或至少2.0nm到至多6.0nm,或至少3.0nm到至多4.0nm。顶部电极670的内壳通过一个或多个电介质层650、660与底部电极640分离。所示实施例的特征在于两个这样的电介质层。一个或多个电介质层650、660可以包括高k电介质。例如,高k电介质可以从包括氧化铪(HfO2)、氧化钽(Ta2O5)、氧化锆(ZrO2)、氧化钛(TiO2)、硅酸铪(HfSiOx)、氧化铝(Al2O3)或氧化镧(La2O3)及其混合物/叠层的列表中选择。在一些实施例中,电介质层650具有与电介质层660相同的成分。在一些实施例中,电介质层650具有与电介质层660不同的成分。电介质层650、660的组合厚度可以是例如从至少0.5nm到至多10.0nm,或至少1.0nm到至多8.0nm,或至少2.0nm到至多6.0nm,或至少3.0nm到至多4.0nm。在一些实施例中,顶部电极610的外壳和底部电极640之间的一个或多个电介质层620、630的厚度等于顶部电极670的内壳和底部电极640之间的一个或多个电介质层650、660的厚度,例如在小于2.0nm、或小于1.5nm、或小于1.0nm、或小于0.5nm、或小于0.4nm、或小于0.3nm、或小于0.2nm、或小于0.1nm的误差范围内。间隙填充电介质680可以设置在DRAM电容器680的中央。示例性间隙填充电介质包括低k电介质,例如SiOC、SiOCN等。
图7示出了VNAND单元的一部分,即接触和电荷俘获组件700。接触和电荷俘获组件700包括金属层710。金属层710可以由诸如铜、钨等金属制成。如图7所示,金属层710可以衬有衬里720。衬里可以提高粘附性和/或可以防止或至少最小化金属(例如铜或钨)从金属层710向外扩散。有利地,衬里720包括包含金属和氮例如钒和氮的层。有利地,该层通过这里描述的方法沉积。接触和电荷俘获组件700包括电荷俘获层740。电荷俘获层740位于两个电介质层730、750之间。电荷俘获层可以包括导电层,例如氮化硅。另外或可替代地,电荷俘获层可以包括包含金属和氮例如钒和氮的层。有利地,该层可以通过这里描述的方法沉积。电介质层730之一与衬里720相邻。该电介质层730可以例如包括高k材料。例如,高k电介质可以从包括氧化铪(HfO2)、氧化钽(Ta2O5)、氧化锆(ZrO2)、氧化钛(TiO2)、硅酸铪(HfSiOx)、氧化铝(Al2O3)或氧化镧(La2O3)及其混合物/叠层的列表中选择。在VNAND存储器架构的适当配置中,另一个电介质层750可以用作隧道层,并且可以与例如掺杂多晶硅的沟道层(未示出)相邻。
图8示出了根据本公开示例的另一示例性结构800。器件或结构800包括衬底802、电介质或绝缘材料804以及包含金属和氮例如钒和氮的层806。在所示的示例中,结构800还包括附加导电层812。衬底802、电介质或绝缘材料804、包含金属和氮的层806以及附加导电层812可以与衬底802、电介质或绝缘材料805、包含金属和氮的层808以及导电层810相同或相似。
在所示的示例中,衬底802包括源区814、漏区816和沟道区818。尽管图示为水平结构,但根据本公开示例的结构和器件可以包括竖直和/或三维结构和器件,比如FinFET器件和全环绕栅极MOSFETs。
上述公开的示例实施例不限制本发明的范围,因为这些实施例仅仅是本发明实施例的示例,本发明的范围由所附权利要求及其法律等同物来限定。任何等同的实施例都在本发明的范围内。实际上,除了在此示出和描述的那些之外,本公开的各种修改比如所描述的元件的可替换的有用组合对于本领域技术人员来说从描述中会变得显而易见。这种修改和实施例也旨在落入所附权利要求的范围内。
图9示出了与暴露于氨之后氧化钒转化为氮化钒相关的实验薄层电阻结果。
具体而言,图a)示出了使用包括通过吹扫分离的交替的钒前体脉冲和氧反应物脉冲的循环沉积过程沉积的氧化钒膜的薄层电阻数据。用于沉积氧化钒膜的循环沉积过程采用氯化钒即VCl4作为钒前体和H2O作为氧反应物。氧化钒膜沉积在包括覆盖有氧化铪层的热氧化硅层的电介质叠层上,从而允许容易地测量氧化钒膜的薄层电阻,以及在转化为氮化钒之后的氮化钒膜的薄层电阻。
图b)示出了通过退火氧化钒膜获得的氮化钒膜的薄层电阻数据,氧化钒膜的薄层电阻示出在图a)中。退火在8托、Ar中35体积%NH3进行20分钟,基座温度为450℃。质量测量示出退火后质量减少ca.10%,这与ca.2nm氧化钒转化为氮化钒一致。此外,退火后,薄层电阻下降超过2个数量级,进一步表明氧化钒转化为导电性更好的氮化钒。使用上述方法,获得厚度为3nm且电阻率为300μOhm·cm的氮化钒层。厚度仅为2nm的类似层的电阻率约为1000μOhm·cm。雾度测量有利地表明氮化后没有表面粗糙化。
图10示出了使用本文所述方法的实施例执行的氧化钒沉积循环的实验结果。特别地,在该特定实验中使用的钒前体是VCl4,并且在该特定实验中使用的氧反应物是H2O。图a)示出了饱和实验,其中在300℃下进行氧化钒沉积,示出了在宽范围内每个循环的生长是恒定的,与钒前体脉冲时间无关。图b)示出了在300℃下进行的饱和实验,示出了在宽范围内每个循环的生长都是恒定的,与氧反应物脉冲时间无关。图c)示出了从约0到约350个循环的生长速率是线性的。使用0.1秒的VCl4脉冲时间、5秒的沉积循环内吹扫时间、0.3秒的氧反应物脉冲时间和20秒的沉积循环间吹扫时间来进行上述饱和和线性实验。该过程在1托的压力下进行。使用这样的条件,可以获得具有ALD特征的氧化钒沉积过程,即以自限制表面反应为特征的沉积。雾度测量有利地表明氮化后没有表面粗糙化。
图11示出了根据本公开实施例的氮化钒沉积过程的实验结果。特别地,在这些实验中使用的钒前体是VCl4,并且在该特定实验中使用的氧反应物是H2O。图a)示出了氧化钒和氮化钒膜的薄层电阻测量。使用0.1秒的VCl4脉冲时间、5秒的沉积循环内吹扫时间、3秒的氧反应物脉冲时间和1秒的沉积循环间吹扫时间来生长图a)的氧化钒层。该过程在1托的压力和300℃的温度下进行。使用这样的条件,可以获得具有CVD特征的氧化钒沉积过程,即以非自限制气相反应为特征的沉积。使用在图10中的图c)的讨论的情况下公开的类ALD沉积过程生长图b)的氧化钒层。在氧化钒沉积之后,对于类ALD和类CVD氧化钒沉积,包含氧化钒层的衬底在NH3环境中退火,从而导致氧化钒层的至少顶部转化为氮化钒。图11所示的薄层电阻测量表明,对于类ALD和类CVD氧化钒沉积,在NH3环境中退火后,薄层电阻降低了3个数量级。在两种情况下的实验中,约4nm的氧化钒转化为氮化钒。雾度测量有利地表明氮化后没有表面粗糙化。
图12中的图a)示出了如图1或2所述的循环沉积过程的结果。事实上,通过交替沉积薄金属氧化物层并使该金属氧化物层经受含氮反应物,可以逐渐生长具有期望厚度的金属氮化物层。图12中的图b)示出了这种金属氮化物层的薄层电阻随着估计厚度的增加而逐渐降低,这对应于超级循环次数的增加。

Claims (20)

1.一种用于在衬底上形成包含金属氮化物的层的方法,该方法包括:
-在反应室中提供衬底;
-在衬底上沉积金属氧化物;以及
-将衬底暴露于含氮反应物,从而将金属氧化物转化为金属氮化物。
2.根据权利要求1所述的方法,其中,在所述衬底上沉积金属氧化物包括沉积循环,所述沉积循环包括金属前体脉冲和氧反应物脉冲,其中,金属前体脉冲包括将衬底暴露于金属前体,并且其中,氧反应物脉冲包括将衬底暴露于氧反应物。
3.根据权利要求2所述的方法,其中,所述氧反应物选自O2,H2O和H2O2
4.根据权利要求1至3中任一项所述的方法,其中,所述金属氮化物包括过渡金属氮化物,并且其中,所述金属氧化物包括过渡金属氧化物。
5.根据权利要求1至4中任一项所述的方法,其中,所述金属氮化物包括氮化钒,并且其中,所述金属氧化物包括氧化钒。
6.根据权利要求2至5中任一项所述的方法,其中,所述金属前体包括过渡金属前体。
7.根据权利要求2至6中任一项所述的方法,其中,所述金属前体选自卤化物、卤氧化物和有机金属化合物。
8.根据权利要求6或7所述的方法,其中,所述金属前体包括钒前体。
9.根据权利要求8所述的方法,其中,所述钒前体包括卤化钒。
10.根据权利要求9所述的方法,其中,所述卤化钒包括氯化钒。
11.根据权利要求8所述的方法,其中,所述金属前体包括β-二酮化钒。
12.根据权利要求2至11中任一项所述的方法,包括多个沉积循环。
13.根据权利要求12所述的方法,其中,后续沉积循环由沉积循环间吹扫分开。
14.根据权利要求2至13中任一项所述的方法,其中,所述金属前体脉冲和所述氧反应物脉冲由沉积循环内吹扫分开。
15.根据权利要求1至14中任一项所述的方法,其中,在所述衬底上沉积所述金属氧化物和将衬底暴露于含氮反应物由后金属氧化物沉积吹扫分开。
16.根据权利要求1至15中任一项所述的方法,包括多个超级循环,超级循环包括在所述衬底上沉积所述金属氧化物,并将衬底暴露于含氮反应物。
17.根据权利要求16所述的方法,其中,后续超级循环由超级循环间吹扫分开。
18.根据权利要求1至17中任一项所述的方法,其中,将所述衬底暴露于含氮反应物包括向反应室提供氮反应物,所述氮反应物选自NH3,N2H2和N2
19.根据权利要求1至17中任一项所述的方法,其中,将所述衬底暴露于含氮反应物包括在反应室中产生含氮等离子体,其中,在反应室中产生含氮等离子体包括向反应室提供含氮等离子体气体,并且其中,含氮等离子体气体包括选自NH3,N2和N2H2的至少一种含氮气体。
20.根据权利要求2至19中任一项所述的方法,其中,所述氧反应物包括H2O。
CN202210176304.8A 2021-03-02 2022-02-25 用于形成包含钒和氮的层的方法和系统 Pending CN114990524A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202163155388P 2021-03-02 2021-03-02
US63/155,388 2021-03-02

Publications (1)

Publication Number Publication Date
CN114990524A true CN114990524A (zh) 2022-09-02

Family

ID=83024244

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202210176304.8A Pending CN114990524A (zh) 2021-03-02 2022-02-25 用于形成包含钒和氮的层的方法和系统

Country Status (4)

Country Link
US (1) US20220285146A1 (zh)
KR (1) KR20220124631A (zh)
CN (1) CN114990524A (zh)
TW (1) TW202249067A (zh)

Also Published As

Publication number Publication date
US20220285146A1 (en) 2022-09-08
KR20220124631A (ko) 2022-09-14
TW202249067A (zh) 2022-12-16

Similar Documents

Publication Publication Date Title
US11885013B2 (en) Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US20210327715A1 (en) Method of forming chromium nitride layer and structure including the chromium nitride layer
US11521851B2 (en) Method of forming structures including a vanadium or indium layer
US7816278B2 (en) In-situ hybrid deposition of high dielectric constant films using atomic layer deposition and chemical vapor deposition
US9252281B2 (en) Silicon on germanium
US7972977B2 (en) ALD of metal silicate films
US8742515B2 (en) Memory device having a dielectric containing dysprosium doped hafnium oxide
CN111564361A (zh) 用于通过反应室中的循环沉积工艺在衬底上沉积铪镧氧化物膜的方法
US20220285146A1 (en) Methods and systems for forming a layer comprising vanadium and nitrogen
US11887857B2 (en) Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element
US11898243B2 (en) Method of forming vanadium nitride-containing layer
US20220285147A1 (en) Methods and systems for forming a layer comprising aluminum, titanium, and carbon
US20230015690A1 (en) Methods and systems for forming a layer comprising a transitional metal and a group 13 element
TW202331841A (zh) 半導體結構和其形成方法以及用於執行方法之設備
CN114628232A (zh) 形成用于阈值电压控制的结构的方法
CN117144332A (zh) 用于在衬底上形成包含13族元素的层的方法
TW202237882A (zh) 用於形成包含釩及氧的層之方法及系統
CN113555280A (zh) 形成包括硼化钒和磷化钒层的结构的方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination