CN114660901A - Apparatus for processing wafer and method of processing wafer - Google Patents

Apparatus for processing wafer and method of processing wafer Download PDF

Info

Publication number
CN114660901A
CN114660901A CN202011541481.9A CN202011541481A CN114660901A CN 114660901 A CN114660901 A CN 114660901A CN 202011541481 A CN202011541481 A CN 202011541481A CN 114660901 A CN114660901 A CN 114660901A
Authority
CN
China
Prior art keywords
wafer
transfer
chamber
robot
process chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202011541481.9A
Other languages
Chinese (zh)
Inventor
吴承恩
陈裕凯
方进坤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority to CN202011541481.9A priority Critical patent/CN114660901A/en
Publication of CN114660901A publication Critical patent/CN114660901A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67225Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one lithography chamber

Abstract

An apparatus for processing a wafer includes a first process chamber, a second process chamber, a transfer region, a robot device, and a pumping tube. The transfer region is connected to the first processing chamber and the second processing chamber. The robot arm device is arranged in the transfer area. The robot device comprises a wafer clamp, a moving assembly and a dustproof shell. The moving component is used for controlling the wafer clamp to move and comprises a sliding rail. The dust-proof shell surrounds the sliding rail of the moving assembly. The exhaust tube is surrounded by the dustproof shell, wherein the exhaust tube comprises a plurality of openings on the side wall of the exhaust tube.

Description

Apparatus for processing wafer and method of processing wafer
Technical Field
The present disclosure relates to an apparatus for processing a wafer and a method of processing a wafer.
Background
In semiconductor manufacturing, a desired pattern is formed on a wafer by photolithography. The photolithography process may include a plurality of processes, such as thin film deposition, baking, exposure, development, and the like. The processes can be performed in a cluster tool having multiple process chambers, and different processes can be integrated into one system, so that the idle time between processes can be reduced to increase throughput. However, while running the cluster tool, dust particles may accumulate in the cluster tool, thereby causing pattern defects.
Disclosure of Invention
Some embodiments of the present disclosure provide a cluster tool apparatus for processing a wafer, comprising a first process chamber, a second process chamber, a transfer region, a robot device, and a pump-out tube. The transfer region is connected to the first processing chamber and the second processing chamber. The robot arm device is arranged in the transfer area. The robot device comprises a wafer clamp, a moving assembly and a dustproof shell. The moving assembly is used for controlling the wafer clamp to move and comprises a sliding rail. The dust-proof shell surrounds the sliding rail of the moving assembly. The exhaust pipe is surrounded by the dust-proof housing, wherein the exhaust pipe comprises a plurality of openings on the sidewall of the exhaust pipe.
Some embodiments of the present disclosure provide a cluster tool apparatus for processing wafers, comprising a plurality of first process chambers, a plurality of second process chambers, a plurality of transfer zones, a plurality of robot arm devices, and a pumping device. The plurality of transfer areas are respectively connected with the first processing chambers and the second processing chambers. The plurality of robot arm devices are respectively arranged in the transfer areas, wherein each robot arm device comprises a sliding rail extending along a first direction. The air extracting device comprises a plurality of air extracting pipes and an air extracting pump, wherein the air extracting pipes are connected with the air extracting pump, the air extracting pipes are respectively positioned in the transfer areas, and each air extracting pipe comprises a plurality of openings on the side wall of the air extracting pipe.
Some embodiments of the present disclosure provide a method for processing a wafer, comprising: transferring the wafer from a first process chamber to a transfer zone using a robot apparatus in the transfer zone, wherein the robot apparatus comprises a slide; using an exhaust tube in the transfer region to exhaust air in the transfer region; and transferring the wafer from the transfer region to a second process chamber using the robot apparatus.
Drawings
Aspects of the present disclosure can be understood from the following detailed description when read in conjunction with the accompanying drawings. It should be noted that the various features are not drawn to scale as is standard in industry practice. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
FIG. 1A is a perspective view of a cluster tool apparatus according to some embodiments of the present disclosure;
FIG. 1B is a schematic cross-sectional view of the cluster tool apparatus of FIG. 1A;
FIGS. 2A-2C are schematic top views of the chamber configuration of the cluster tool apparatus of FIG. 1A;
FIG. 3 is a perspective view of a portion of the cluster tool apparatus of FIG. 1A;
FIG. 4 is a perspective view of a robot arm device and an exhaust tube according to some embodiments of the present disclosure;
FIG. 5A is a schematic perspective view of a pump-out tube according to some embodiments of the present disclosure;
FIG. 5B is a schematic side view of the pump-out tube of FIG. 5A;
FIG. 6A is a schematic perspective view of a pump-out tube according to some embodiments of the present disclosure;
FIG. 6B is a side view of the pump-out tube of FIG. 6A.
[ notation ] to show
100 combination tool apparatus
110 load port
120 front end interface
130 front end module
140 transfer zone
141S-144S wall
144O opening
146 fan unit
150 process chamber
151 bottom coating chamber
152 photoresist film coating chamber
153 baking chamber
154 Top coating Chamber
155 baking chamber
156 developing chamber
157 baking chamber
160 rear end module
170 back end interface
180 rear end machine table
190 air extractor
192 air exhaust pipe
192O of open pore
192OA of opening
192OB of open pores
194 air suction pump
195 multi-way connector
196 master control valve
197 total pressure detector
198 control valve
199 pressure detector
200 robot arm device
210 first direction moving assembly
212 linear slide
212a slide rail
220 second direction moving assembly
220S space
222 linear slide
222a slide rail
222b supporting member
224 actuator
226 dust-proof shell
226a side wall
226b upper wall
226c side wall
226d lower wall
230 third direction moving assembly
232 linear sliding member
232a sliding rail
232b bearing member
240 rotating assembly
250 wafer clamp
EDA and EDB in the direction of pumping
AD is angle difference
X, Y, Z direction
Detailed Description
The following disclosure is intended to provide many different embodiments, or examples, for implementing different features of the provided subject matter. Many components and arrangements are described below in order to simplify the present disclosure with regard to specific embodiments. These embodiments are, of course, merely examples and are not intended to limit the disclosure. For example, the statement that a first feature is formed over a second feature includes various embodiments, which encompass both a first feature being in direct contact with the second feature, and additional features being formed between the first and second features, such that direct contact between the two is not made. Moreover, in various embodiments, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and is not intended to in any way limit the scope of the various embodiments and/or configurations discussed.
Furthermore, spatially relative terms, such as "lower," "below," "beneath," "under," "upper," "over," and the like, may be used herein to describe a relationship of an element or feature to another element or feature as illustrated. In use or operation, the spatially relative terms are intended to encompass different orientations of the device in addition to the orientation depicted in the figures. Alternatively, the devices may be rotated (90 degrees or at other angles) and the spatially relative descriptors used herein interpreted accordingly.
In the fabrication of semiconductor devices, photolithography can be performed through a cluster tool to form a desired pattern of photoresist on a wafer. The cluster tool apparatus may include a plurality of process chambers and a robot transfer device capable of transferring wafers between the process chambers for different processes during the photolithography process. In addition, a plurality of identical process chambers may be provided to perform identical process on a plurality of wafers of the same lot in parallel.
Fig. 1A is a perspective view of a cluster tool apparatus 100 according to some embodiments of the present disclosure. Fig. 1B is a schematic cross-sectional view of the cluster tool apparatus 100 of fig. 1A along the direction X. The cluster tool apparatus 100 includes a load port 110, a front end Interface (FI) 120, a front end module 130, a transfer region 140, a plurality of process chambers 150, a back end module 160, a back end Interface 170, and a back end stage 180.
The load port 110 may be used to carry a pod (not shown). A wafer Transfer cassette (not shown) may be loaded with a plurality of wafers and transported by a suitable automated handling system, such as an Overhead monorail (OHT) system.
The front interface 120 may interface with the load port 110 and the front module 130. A robot device (not shown) may be disposed in the front interface 120 to remove wafers from a pod (not shown) carried by the load port 110 and transfer the wafers to the front module 130, or to remove wafers from the front module 130 and transfer the wafers to a pod (not shown) carried by the load port 110.
The front end module 130 may include a plurality of blocks. For example, the front end module 130 may include a wafer buffer chamber for temporarily storing wafers. In some embodiments, the front end module 130 may also be provided with a robot device to facilitate wafer transfer. In some embodiments, the front end module 130 may include a cooling chamber, which may include a cold plate to cool the wafer.
The transfer region 140 is coupled to the front end module 130, the processing chambers 150, and the back end module 160. The transfer region 140 may be provided with a robot apparatus 200 to transfer wafers between the front end module 130, the process chambers 150, and the back end module 160. The Robot apparatus 200 may be, for example, a Process Block Robot Arm (PRA).
In some embodiments, the transfer zones 140 may be arranged in a vertically stacked manner along the direction Z. As shown, the robot arm device 200 is disposed in each of the three transfer zones 140. The transfer region 140 of each layer may connect the front end module 130 and the back end module 160 in the direction Y and connect the process chambers 150 in the direction X. In some embodiments, the portions of the process chambers 150 to which the transfer regions 140 of each layer are connected may be arranged in a vertically stacked arrangement along the direction Z. In the transfer zone 140 of each level, the robot 200 of that level may move in the direction X, Y, Z to perform wafer transfers between the front end module 130, the process chambers 150, and the rear end module 160.
In some embodiments of the present disclosure, the cluster tool apparatus 100 is used to perform an exposure lithography process, wherein the process chamber 150 may be used to perform a priming (Prime), a photoresist coating (Spin Coat), a Soft Bake (Soft Bake), a Post-exposure Bake (Post-exposure Bake), a development (development), and a Hard Bake (Hard Bake) process. For example, the processing chamber 150 can include a bottom coating chamber, a photoresist coating chamber, a top coating chamber, a developing chamber, a baking chamber, and the like.
Back end module 160 may include a plurality of blocks. For example, the back end module 160 may include a wafer buffer chamber for temporarily storing wafers. In some embodiments, the back end module 160 may include a cooling chamber, in which a cold plate may be disposed to cool the wafer. In some embodiments, the back end module 160 may include a Reactive Surface Method (RSM) chamber to find a suitable exposure window to ensure process capability is in a stable state.
The backend interface 170 may interface with the backend module 160 and the backend console 180. A robot device (not shown) may be provided in the back end interface 170 to remove wafers from the back end module 160 for transfer to the back end station 180, or to remove wafers from the back end station 180 for transfer to the back end module 160. The back end tool 180 may be a suitable Exposure machine, such as a stepper or a scanner, for exposing the photoresist layer on the wafer with a specific optical pattern.
Thus, after a pod (not shown) is placed in the load port 110, the wafer can be transferred to the appropriate chambers 150 via the robot arm assembly (not shown) of the front end interface 120 and the robot arm assembly 200 of the transfer region 140, thereby forming a photoresist layer on the wafer. Thereafter, the wafer is transferred to the back end stage 180 via the robot 200 of the transfer region 140 and a robot (not shown) of the back end interface 170 for exposure. The wafer is then transferred to the appropriate process chamber 150 for development via the robot 200 in the transfer region 140 and a robot (not shown) in the back end interface 170. Finally, the wafer is transferred to a pod (not shown) of the load port 110 via the robot apparatus 200 of the transfer region 140 and the robot apparatus (not shown) of the front interface 120.
In some embodiments of the present disclosure, the robot apparatus 200 may have suitable moving components and wafer chucks 250 such that the moving components of the robot apparatus 200 may move in three dimensions in direction X, Y, Z and may be able to transfer wafers between process chambers 150 using the wafer chucks 250. For example, referring to fig. 1B, each robot apparatus 200 includes a first directional motion assembly 210, a second directional motion assembly 220, and a third directional motion assembly 230 for manipulating the motion of the wafer chuck 250 in directions X, Y, Z, respectively. Each robot apparatus 200 may also include a rotation assembly 240 to control the orientation of the wafer chuck 250. In order to simplify the drawings, not all components of the robot arm device 200 are shown, and the components of the robot arm device 200 are not necessarily drawn to scale. The design of the robot 200 is not limited to that shown in the figures.
In some embodiments, the second direction moving assembly 220 of the robot 200 may include a linear slide 222, an actuator 224, and a dust-proof housing 226. The linear slide 222 may include a slide rail 222a and a carrier 222b movably mounted on the slide rail 222 a. The actuator 224 is used to control the movement of the carrier 222b on the slide rail 222 a. The dust housing 226 may surround the slide rail 222a of the linear slide 222 and the actuator 224. The linear slide 222 and the actuator 224 of the second directional motion assembly 220 may generate dust particles or cause airborne dust during operation, and the dust enclosure 226 may prevent these dust particles from flying into the transfer region 140 and even into the processing chambers 150.
However, in some cases, dust particles generated during operation of the linear slide 222 and the actuator 224 of the second direction moving assembly 220 may accumulate inside the dust-proof housing 226. For example, dust particles may accumulate near the linear slide 222 and the actuator 224, such as in the space 220S between the linear slide 222 and the actuator 224 and the sidewall 226a of the dust case 226. When the space 220S is excessively accumulated with dust particles and may cause defects to the wafer after the cluster tool apparatus 100 is operated for a certain period of time, the operator cleans the space 220S. This limited space 220S may cause strain on the operator' S muscles. Moreover, since a plurality of (e.g., three) transfer areas 140 are stacked and the robot apparatus 200 is disposed in each transfer area 140, it takes too long to clean the space 220S of the plurality of (e.g., three) robot apparatuses 200.
In some embodiments of the present disclosure, an air pumping tube 192 is disposed along the direction Y in the space 220S between the linear slide 222 and the actuator 224 and the sidewall 226a of the dust-proof housing 226. The air suction pipe 192 has a plurality of openings (see the openings 192O, 192OA, and 192OB in fig. 5A to 6B) for performing air suction inside the dust case 226. Thereby, the airflow inside the dust case 226 can be increased to prevent the accumulation of dust particles. In some embodiments, the pump tube 192 may be formed of a teflon material.
In some embodiments of the present disclosure, a method of processing a wafer is provided. The method may include
The wafer is transferred from a first location (e.g., any of the front end module 130, the process chamber 150, and the back end module 160) to the transfer region 140 using the robot apparatus 200 in the transfer region 140, and the wafer is transferred from the transfer region 140 to a second location (e.g., any other of the front end module 130, the process chamber 150, and the back end module 160) using the robot apparatus 200. In some embodiments of the present disclosure, the pumping tube 192 may be continuously pumped during the photolithography process. For example, the pump-down tube 192 may pump down when the robot 200 holds the wafer, or when the robot 200 does not hold the wafer. In some other embodiments, pump-down tube 192 may pump down only when the robot 200 holds the wafer and not pump down when the robot 200 does not hold the wafer. Alternatively, in some other embodiments, pump out tube 192 may only pump out when the wafer is not held by the robot apparatus 200, and not pump out when the wafer is held by the robot apparatus 200.
Fig. 2A-2C are schematic top views of the chamber configuration of the cluster tool apparatus 100 of fig. 1A. Specifically, fig. 2A is a schematic diagram of a first floor chamber configuration of the cluster tool apparatus 100 of fig. 1A and 1B; FIG. 2B is a schematic diagram of a second floor of the cluster tool apparatus 100 of FIGS. 1A and 1B; fig. 2C is a schematic diagram of a third floor chamber configuration of the cluster tool apparatus 100 of fig. 1A and 1B.
Reference is also made to fig. 2A to 2C. In some embodiments, the processing chamber 150 includes a bottom coating chamber 151, a photoresist coating chamber 152, a baking chamber 153, a top coating chamber 154, a baking chamber 155, a developing chamber 156, a baking chamber 157, and the like. As shown, in the transfer region 140, the suction tube 192 is disposed on a side of the slide rail 222 a/actuator 224 of the robot arm assembly 200 facing the bottom coating chamber 151 and the bake chambers 153, 155, 157. In other words, in the transfer region 140, the pumping line 192 is disposed on the side of the slide rail 222 a/actuator 224 of the robot apparatus 200 facing away from the photoresist coating chamber 152, the top coating chamber 154, or the developing chamber 156. For example, the dust-proof housing 226 of the second direction moving assembly 220 has a sidewall 226a and a sidewall 226c, the sidewall 226a faces the bottom coating chamber 151 and the baking chambers 153, 155, 157, and the sidewall 226c faces the photoresist coating chamber 152, the top coating chamber 154 or the developing chamber 156, wherein the air suction pipe 192 may be disposed between the sidewall 226a and the slide rail 222 a/the actuator 224.
In some embodiments, a Hydrophobic (Hydrophobic) material is applied to the wafer surface in the bottom coating chamber 151 to improve the adhesion between the photoresist and the wafer surface. The water repellent (Hydrophobic) material may be, for example, Hexamethyldisilazane (HMDS). The bottom coating chamber 151 may also be provided with a hot plate to perform a preliminary baking of the wafer.
In some embodiments, the wafer may be fixed on a turntable in the photoresist coating chamber 152, and after the photoresist liquid is sprayed on the wafer, the photoresist liquid is coated on the surface of the wafer in a uniform thickness by high-speed rotation.
In some embodiments, a pre-exposure bake, such as a soft bake, is performed in the bake chamber 153. Specifically, a hot plate may be provided in the baking chamber 153. The residual water vapor and solvent in the photoresist can be removed by heating the hot plate, so that the photoresist becomes a solid film and the adhesion of the photoresist to the surface of the wafer is increased.
In some embodiments, a Top layer (Top Coat) coating is performed in the Top coating chamber 154 after soft baking and before exposure. Thereafter, the back end machine 180 is used to perform the exposure process.
In some embodiments, a post-exposure bake chamber is performed in bake chamber 155. Specifically, a hot plate may be provided in the baking chamber 155. The photoresist structure can be rearranged and the standing wave effect can be reduced by heating and baking the hot plate.
In some embodiments, a developer is sprayed onto the exposed photoresist layer in the developing chamber 156, and the photoresist dissolved by the developer is removed (Spin Off) at a high speed to form a patterned photoresist layer.
In some embodiments, a post-development bake, such as a hard bake, may be performed in the bake chamber 157. Specifically, a hot plate may be provided in the baking chamber 157. The residual developer can be removed by hot plate baking, which improves the hardness and adhesion of the photoresist layer, so that the photoresist layer has higher blocking capability in the subsequent processes (such as etching or ion implantation).
In some embodiments of the present disclosure, the chambers in which the hot plate is located (e.g., the bottom coating chamber 151 and the baking chambers 153, 155, 157) may be disposed on a first side of the transfer region 140, and the photoresist coating chamber 152, the top coating chamber 154, and the developing chamber 156 may be disposed on a second side of the transfer region 140 opposite to the first side.
The chambers and process flows described in some embodiments of the disclosure are merely illustrative and are not intended to limit the scope of the disclosure. In some embodiments, the cluster tool apparatus 100 may not include the developing chamber 156, and the configuration of the developing chamber 156 may be omitted. In some embodiments, the bake chambers 153, 155, and 157 are not limited to soft bake, post-exposure bake, and hard bake. The order of usage of the bake chambers 153, 155, and 157 may be adjusted according to the process, and is not limited to the description herein.
Fig. 3 is a perspective view of a portion of the cluster tool apparatus 100 of fig. 1A. The cluster tool apparatus 100 may include a pumping device 190 that includes a plurality of pumping tubes 192 and a pumping pump 194. In some embodiments, the plurality of pumping tubes 192 are disposed in the plurality of transfer regions 140, respectively. The pump 194 can be located outside the transfer region 140. The suction pump 194 may be, for example, a suction motor.
For example, in the directions X and Y, each transfer area 140 may be surrounded by sidewall 141S-144S. In some embodiments, the wall 141S faces the front, such as the load port 110, the front interface 120, and the front module 130. In some embodiments, the wall 142S faces a chamber in which the hot plate is located, such as the bottom coating chamber 151 and the baking chambers 153, 155, and 157 (see fig. 1A). In some embodiments, the wall 143S faces the photoresist coating chamber 152, the developing chamber 156, and/or the top coating chamber 153 (see fig. 1A). In some embodiments, the wall 144S faces the back end, such as the back end module 160, the back end interface 170, and the back end platform 180 (see fig. 1A). In some embodiments, the wall 144S may be opened with an opening 140O, and the pumping tube 192 may be disposed in the transfer region 140 surrounded by the walls 141S to 144S, and may be pulled out of the transfer region 140 through the opening 144O of the wall 144S, so as to be connected to the pumping pump 194. In some embodiments, the pump out tube 192 may bypass various sections (e.g., the temporary wafer storage chamber, the cooling chamber, and the reactive curved process chamber) of the back end module 160 (see FIG. 1A). For example, referring to fig. 2B and 2C, the transition region 140 is offset from the rear module 160 on the side facing the rear end to form a space G, so that the pumping tube 192 can first pass through the opening 144O of the wall 144S and then be pulled out through the space G to be connected to the pumping pump 194.
In some embodiments, the gas-withdrawal device 190 may further comprise a multi-port connector 195, a master control valve 196, a total pressure detector 197, a plurality of control valves 198, and a plurality of pressure detectors 199. The multi-way connector 195 has a plurality of air inlets and an air outlet, the air inlets of the multi-way connector 195 are respectively connected with the air pumping pipe 192, and the air outlet of the multi-way connector 195 is connected with the air pumping pump 194. Thereby, the plurality of pumping tubes 192 are connected to the pumping pump 194 through the multi-way connector 195. In some embodiments, the multi-pass connector 195 can be a three-way connector.
In some embodiments, the main control valve 196 and the total pressure detector 197 can be connected between the multi-way connector 195 and the air pump 194 to adjust and monitor the total gas flow rate, respectively, so as to achieve a good air pumping effect. In some embodiments, at least one control valve 198 and at least one pressure detector 199 may be disposed on each pumping tube 192 to adjust and monitor the gas flow rate of each pumping tube 192, respectively, so as to achieve a good pumping effect.
FIG. 4 is a perspective view of a robot arm assembly 200 and a suction tube 192 according to some embodiments of the present disclosure. As mentioned above, the moving components of the robot arm device 200 may include the first direction moving component 210, the second direction moving component 220, the third direction moving component 230, and a rotating component (not shown). The first direction moving assembly 210 may be connected to the third direction moving assembly 230 through a rotating assembly (not shown) that may control the direction of the wafer chuck 250. The third direction moving member 230 may be connected to the second direction moving member 220. The first direction moving assembly 210, the second direction moving assembly 220, and the third direction moving assembly 230 may be independently controlled, respectively. The wafer chuck 250 is connected to the first direction moving assembly 210. With this arrangement, in some embodiments, the first direction moving assembly 210, the second direction moving assembly 220, the third direction moving assembly 230, and the rotation assembly (not shown) may move the wafer chuck 250 in three dimensions X, Y, Z to transfer wafers between the process chambers 150.
As previously described, the second directional movement assembly 220 may include a linear slide 222, an actuator 224, and a dust housing 226. In some embodiments, the linear slider 222 of the second direction moving assembly 220 may include a slide rail 222a and a carrier 222b movably mounted on the slide rail 222 a. The carrier 222b may be connected to the wafer chuck 250 by suitable connection means. For example, the third direction moving assembly 230 is fixed on the carrier 222b, and the wafer chuck 250 is fixed on the third direction moving assembly 230. Thereby, the linear slide 222 can guide the wafer chuck 250 in the Y direction when the robot arm device 200 transfers the wafer between the respective process chambers. In some embodiments, the actuator 224 of the second direction moving assembly 220 may comprise a track or other suitable actuator, and the actuator 224 (e.g., the track) is configured to move the carrier 222b of the linear slider 222 along the slide rail 222a in the direction Y.
The dust case 226 of the second direction movement assembly 220 is adapted to cover and support components (e.g., the slide rails 222a of the linear slide 222 and the actuator 224) in the second direction movement assembly 220 for safety and contamination reduction reasons. Since particle generation is typically caused by mechanical parts rolling, sliding, or contacting each other, the provision of dust enclosure 226 ensures that the components in robotic arm assembly 200 do not cause defects to the wafer during its transport in the cluster tool. The dust enclosure 226 generally includes a plurality of walls (see side wall 226a, top wall 226b, side wall 226c, and bottom wall 226d) that move components in the assembly 220 (e.g., the slide rail 222a of the linear slide 222 and the actuator 224) about the second direction such that the chance of particles generated by the mechanical components reaching the wafer surface is minimized.
In some embodiments, the sidewall 226a of the dust-proof housing 226 is disposed on one side of the slide rail 222a and is adjacent to the chamber (e.g., the bottom coating chamber 151 and the baking chambers 153, 155, 157) where the hot plate is located. In some embodiments, the upper wall 226b of the dust-proof housing 226 is disposed above the sliding rail 222 a. In some embodiments, the sidewall 226c of the dust-proof housing 226 is disposed on the other side of the slide rail 222a, and is adjacent to the photoresist coating chamber 152 and the developing chamber 156. In other words, the sidewalls 226a, 226c are oppositely disposed. In some embodiments, the lower wall 226d of the dust-proof housing 226 is disposed below the slide rail 222 a.
As mentioned above, a space 220S exists between the components of the second direction moving assembly 220 (e.g., the slide rail 222a and the actuator 224) and the sidewall 226a of the dust housing 226. In the present embodiment, the pumping tube 192 is disposed in the space 220S between the components (e.g., the slide rail 222a and the actuator 224) of the second direction moving assembly 220 and the sidewall 226a of the dust-proof housing 226. Thereby, accumulation of dust particles in the dust-proof housing 226 can be reduced.
As shown, in the transfer region 140, the pumping tube 192 is disposed on a side of the slide rail 222a or the actuator 224 facing the chamber in which the hot plate is located (e.g., the primer coating chamber 151 and the bake chambers 153, 155, 157). In other words, in the transfer region 140, the pumping tube 192 is disposed on a side of the slide rail 222a or the actuator 224 opposite to the photoresist coating chamber 152 or the developing chamber 156.
In some embodiments, as with the second direction moving assembly 220, the third direction moving assembly 230 may include a linear slide 232 and an actuator (not shown). The linear slide 232 may include a slide rail 232a and a carrier 232b movably mounted on the slide rail 232a, wherein the bracket of the first direction moving assembly 210 may be connected to the carrier 232 b. Thereby, the first direction moving assembly 210 and the wafer chuck 250 thereon can move along the slide rail 232a in the direction Z. Here, the bracket of the sliding rail 232a of the third direction moving assembly 230 may be connected to the bearing 222b of the second direction moving assembly 220.
In some embodiments, the first direction moving assembly 210 may include a linear slider (not shown) and an actuator (not shown) as configured by the second direction moving assembly 220. The linear slider (not shown) of the first direction moving assembly 210 may include a slide rail 212a and a carrier (not shown) movably mounted on the slide rail 212a, wherein the wafer chuck 250 may be disposed on the carrier (not shown). Thereby, the wafer chuck 250 can move along the slide rail 212a in the direction X.
With the above arrangement, the third direction moving assembly 230, the first direction moving assembly 210 thereon, and the wafer chuck 250 thereon are supported by the carrier 222b of the second direction moving assembly 220, and can move in the direction Y along the slide rail 222a of the second direction moving assembly 220. The first direction moving assembly 210 and the wafer chuck 250 thereon are supported by the bearing 232b of the third direction moving assembly 230, and can move along the slide rail 232a of the third direction moving assembly 230 in the direction Z. The wafer chuck 250 is supported by a carrier (not shown) of the first direction moving assembly 210, and can move in the direction X along the slide rail 212a of the first direction moving assembly 210. In this embodiment, the sliding rail 212a of the first direction moving assembly 210 may be connected to the carrier 232b of the third direction moving assembly 230 through the rotating assembly 240. Thereby, the first direction moving assembly 210 can rotate relative to the carrier 232 b. In this way, the robot arm device 200 can achieve three-dimensional and omnidirectional movement.
In the present embodiment, the configurations of the first direction moving assembly 210, the second direction moving assembly 220, the third direction moving assembly 230 and the wafer chuck 250 are only examples, and are not intended to limit the scope of the present disclosure. In some other embodiments, the first direction moving component 210, the second direction moving component 220, and the third direction moving component 230 may adopt other suitable configurations, and are not limited to the configurations described herein.
In some embodiments, the transfer region 140 (see fig. 1A and 1B) may further include a fan unit 146. The fan unit 146 may be disposed substantially below the dust case 226. In some embodiments, the lower wall 226d of the dust enclosure 226 may be non-enclosed, e.g., perforated, so that the fan unit 146 may draw air from the interior of the dust enclosure 226 to remove dust particles before it is discharged into the transfer region 140 (see fig. 1A and 1B). The fan unit 146 is designed to create a negative pressure within the dust case 226 such that air outside the dust case 226 is drawn into the enclosure, thereby limiting the possibility of dust particles generated within the dust case 226 from leaking. In some embodiments, the fan units 146 are only disposed at two ends of the dust-proof housing 226 along the direction Y, which is disadvantageous to the air flow inside the dust-proof housing 226, and thus dust particles may be accumulated inside the dust-proof housing 226. In some embodiments of the present disclosure, the air extraction tube 192 may be configured to further facilitate air flow inside the dust-proof housing 226.
Fig. 5A is a perspective view of a pump-out tube 192 according to some embodiments of the present disclosure. FIG. 5B is a schematic side view of the pump-out tube 192 of FIG. 5A. The opening 192O of the pumping tube 192 may be disposed at one side of the slide rail 222a of the linear slide 222 and the actuator 224 (refer to fig. 4) of the pumping tube 192 facing the second direction moving assembly 220. In the present embodiment, the holes 192O may be located at the same height in the direction Z, and draw air along substantially the same direction ED. For example, in the present embodiment, the pumping direction ED of the pumping tube 192 (the direction from the hole 192O of the pumping tube 192 to the center of the pumping tube 192) is substantially parallel to the direction X. In other embodiments, the pumping direction ED of the pumping tube 192 may not be parallel to the direction X. In other embodiments, the openings 192O may not be disposed at the same height, but have a plurality of different pumping directions. The height variation of the opening 192O may be gradual.
In the present embodiment, the openings 192O are disposed equidistantly in the direction Y to exhibit uniform pumping effect. In other embodiments, the arrangement of the openings 192O may have a density variation, and may not be a uniform arrangement with equal spacing. For example, in some embodiments, the holes 192O near the two ends of the pumping tube 192 may be designed to have a lower density, and the holes 192O near the center of the pumping tube 192 in the direction Y may be designed to have a higher density. Alternatively, in other embodiments, the holes 192O near the two ends of the pumping tube 192 may be designed to have a higher density, and the holes 192O near the center of the pumping tube 192 in the direction Y may be designed to have a lower density. In some embodiments, the density of the openings 192O may be gradually changed.
In the present embodiment, the size and shape of the openings 192O are the same. In other embodiments, the openings 192O may not be designed to be identical in size and/or shape. For example, in some embodiments, the size of the opening 192O near the two ends of the pumping tube 192 may be designed to be smaller, and the size of the opening 192O near the center of the pumping tube 192 in the direction Y may be designed to be larger. Alternatively, in other embodiments, the size of the opening 192O near the two ends of the pumping tube 192 may be designed to be larger, and the size of the opening 192O near the center of the pumping tube 192 in the direction Y may be designed to be smaller. In some embodiments, the size of the opening 192O may be gradually changed.
Fig. 6A is a perspective view of a pump-out tube 192 according to some embodiments of the present disclosure. FIG. 6B is a side view of the pump-out tube 192 of FIG. 6A. This embodiment is similar to the embodiment of fig. 5A and 5B, but differs in that the holes 192O of this embodiment may be arranged to be staggered up and down. For convenience of description, in the present embodiment, a part of the openings 192O is denoted as openings 192OA, another part of the openings is denoted as openings 192OB, and the openings 192OA and 192OB are alternately arranged along the direction Y.
In a side view, the difference AD between the angles of the openings 192OA, 192OB on the surface of the pump tube 192 in the plane of the X and Z directions is in a range from greater than about 0 degrees to less than about 180 degrees. For example, in some embodiments, the difference AD between the angles of the openings 192OA, 192OB on the surface of the pumping tube 192 may be in the range of about 80 degrees to about 90 degrees, so that air can be pumped in both a diagonally upward and a diagonally downward direction. Thus, in the present embodiment, the pumping tube 192 has a pumping direction EDA (direction from the hole 192OA to the center of the pumping tube 192) and a pumping direction EDB (direction from the hole 192OB to the center of the pumping tube 192), and the two pumping directions EDA and EDB are not parallel to the direction X. For example, the two pumping directions EDA and EDB can be angled from the direction X by an angle greater than about 0 degrees to less than about 180 degrees. In other embodiments (e.g., the embodiment of fig. 5A and 5B), the difference in the angles of the openings 192OA and 192OB on the surface of the pumping tube 192 is about 0 degrees, such that the openings 192OA and 192OB pump air in substantially the same direction.
In the present embodiment, the openings 192OA and 192OB are equidistantly arranged in the direction Y to exhibit a uniform pumping effect. Alternatively, in other embodiments, the openings 192OA, 192OB may be arranged in a variable density and may have different pitches in the direction Y. Other details of this embodiment are substantially as described above and will not be described herein.
Based on the above discussion, it can be seen that the present disclosure provides a number of advantages. However, it is to be understood that other embodiments may provide additional advantages, and that not all advantages need be disclosed herein, and that not all embodiments require a particular advantage. One advantage of the present disclosure is that by using the configuration of the air exhaust tube, air is exhausted from the dust-proof housing of the moving assembly in the direction Y of the robot arm device, thereby reducing dust particles in the dust-proof housing. One of the advantages of the present invention is that the plurality of openings of the air extraction tube are arranged along the long axis direction (i.e. direction Y) of the dust-proof housing of the direction Y moving assembly, so as to generate a more uniform air extraction flow field, which is helpful for removing dust particles. Yet another advantage of the present disclosure is that the pump-out tube can be configured in the space between the side wall of the dust enclosure and the linear slide or actuator without changing the robot device configuration.
Some embodiments of the present disclosure provide an apparatus for processing a wafer, comprising a first process chamber, a second process chamber, a transfer region, a robot apparatus, and a pump-out tube. The transfer region is connected to the first processing chamber and the second processing chamber. The robot arm device is arranged in the transfer area. The robot device comprises a wafer clamp, a moving assembly and a dustproof shell. The moving assembly is used for controlling the wafer clamp to move and comprises a sliding rail. The dust-proof shell surrounds the sliding rail of the moving assembly. The exhaust tube is surrounded by the dustproof shell, wherein the exhaust tube comprises a plurality of openings on the side wall of the exhaust tube.
Some embodiments of the present disclosure provide a cluster tool apparatus for processing wafers, comprising a plurality of first process chambers, a plurality of second process chambers, a plurality of transfer zones, a plurality of robot arm devices, and a pumping device. The plurality of transfer areas are respectively connected with the first processing chambers and the second processing chambers. The plurality of robot arm devices are respectively arranged in the transfer areas, wherein each robot arm device comprises a sliding rail extending along a first direction. The air extracting device comprises a plurality of air extracting pipes and an air extracting pump, wherein the air extracting pipes are connected with the air extracting pump, the air extracting pipes are respectively positioned in the transfer areas, and each air extracting pipe comprises a plurality of openings on the side wall of the air extracting pipe.
Some embodiments of the present disclosure provide a method for processing a wafer, comprising: transferring the wafer from a first process chamber to a transfer zone using a robot apparatus in the transfer zone, wherein the robot apparatus comprises a slide; using an exhaust tube in the transfer region to perform air exhaust in the transfer region; and transferring the wafer from the transfer region to a second process chamber using the robot apparatus.
The foregoing outlines features of various embodiments so that those skilled in the art may better understand the aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. It should also be understood that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present disclosure.

Claims (10)

1. An apparatus for processing a wafer, comprising:
a first process chamber;
a second process chamber;
a transfer region connecting the first process chamber and the second process chamber;
a robotic device disposed in the transfer area, wherein the robotic device comprises:
a wafer holder;
a moving assembly for controlling the wafer holder to move, the moving assembly comprising a slide rail; and
a dust-proof housing surrounding the slide rail of the moving assembly; and
an exhaust tube surrounded by the dust-proof housing, wherein the exhaust tube includes a plurality of openings on a sidewall of the exhaust tube.
2. The apparatus of claim 1, wherein the first process chamber is a bake chamber and the pumping line is disposed between the slide of the moveable assembly and the first process chamber.
3. The apparatus of claim 1, wherein the second process chamber is a coating chamber and the pumping line is disposed on a side of the slide of the movable assembly opposite the second process chamber.
4. The apparatus of claim 1, wherein the plurality of openings are arranged equidistantly along the direction.
5. An apparatus for processing a wafer, comprising:
a plurality of first process chambers;
a plurality of second process chambers;
a plurality of transfer regions respectively connected to the plurality of first process chambers and the plurality of second process chambers;
a plurality of robot arm devices respectively arranged in the plurality of transfer areas, wherein each robot arm device comprises a slide rail extending along a first direction; and
and the air extracting device comprises a plurality of air extracting pipes and an air extracting pump, wherein the air extracting pipes are connected with the air extracting pump, the air extracting pipes are respectively positioned in the transfer areas, and each air extracting pipe comprises a plurality of openings on the side wall of the air extracting pipe.
6. The apparatus of claim 5, wherein each of the robot devices further comprises a dust enclosure surrounding the slide and one of the plurality of suction tubes.
7. The apparatus of claim 5, wherein the plurality of transfer zones are in a vertically stacked arrangement.
8. The apparatus of claim 5, wherein at least one of the plurality of first process chambers and at least one of the plurality of transfer regions are disposed along a second direction, the second direction being perpendicular to the first direction.
9. A method of processing a wafer, comprising:
transferring the wafer from a first process chamber to a transfer zone using a robot apparatus in the transfer zone, wherein the robot apparatus comprises a slide;
using an exhaust tube in the transfer region to exhaust air in the transfer region; and
the wafer is transferred from the transfer region to a second process chamber using the robot apparatus.
10. The method of claim 9, wherein evacuating the transfer region is performed while the wafer is held by the robot device.
CN202011541481.9A 2020-12-23 2020-12-23 Apparatus for processing wafer and method of processing wafer Pending CN114660901A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202011541481.9A CN114660901A (en) 2020-12-23 2020-12-23 Apparatus for processing wafer and method of processing wafer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202011541481.9A CN114660901A (en) 2020-12-23 2020-12-23 Apparatus for processing wafer and method of processing wafer

Publications (1)

Publication Number Publication Date
CN114660901A true CN114660901A (en) 2022-06-24

Family

ID=82025398

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202011541481.9A Pending CN114660901A (en) 2020-12-23 2020-12-23 Apparatus for processing wafer and method of processing wafer

Country Status (1)

Country Link
CN (1) CN114660901A (en)

Similar Documents

Publication Publication Date Title
KR100797666B1 (en) Substrate processing apparatus
US7871265B2 (en) Heat treatment device
KR102479590B1 (en) Apparatuse for precossing substrate
KR102635385B1 (en) Apparatuse for treating substrate
KR102556992B1 (en) cleaning jig, apparatus for processing substrate including the same, and cleaning method for apparatus for processing substrate
KR20220072014A (en) Apparatuse for treating substrate
KR20220070854A (en) Apparatuse for treating substrate
KR102315667B1 (en) Method and Apparatus for treating substrate
US20070172233A1 (en) Substrate processing apparatus
JP2014175357A (en) Substrate processing method, program, computer storage medium, and substrate processing system
TWI760024B (en) Apparatus for processing a wafer and method for processing a wafer
KR20210009888A (en) Substrate processing apparatus and Rotating assembly
KR102564512B1 (en) Apparatuse for precossing substrate and apparatus for processing substrate
CN114660901A (en) Apparatus for processing wafer and method of processing wafer
CN115642118A (en) Support unit and substrate processing apparatus including the same
KR20230102511A (en) End effector and substrate transfer apparatus
KR20230050139A (en) Substrate transfer equipment and substrate processingsystem using the same
KR102264295B1 (en) Apparatus and Method for treating substrate
KR20220058146A (en) Transfer hand and substrate processing apparatus
KR102624576B1 (en) Apparatuse for treating substrate
KR102616130B1 (en) Apparatuse for treating substrate
KR102600411B1 (en) Apparatus for treating substrate and method for treating substrate
KR102108312B1 (en) Apparatus and Method for treating substrate
KR20230017430A (en) Air conditioner and apparatus for treating substrate the same
KR102280034B1 (en) Transfer unit and Apparatus for treaitngsubstrate

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination