CN114641685A - Laser-generated plasma illuminator with low atomic number low temperature target - Google Patents

Laser-generated plasma illuminator with low atomic number low temperature target Download PDF

Info

Publication number
CN114641685A
CN114641685A CN202080075446.4A CN202080075446A CN114641685A CN 114641685 A CN114641685 A CN 114641685A CN 202080075446 A CN202080075446 A CN 202080075446A CN 114641685 A CN114641685 A CN 114641685A
Authority
CN
China
Prior art keywords
atomic number
plasma
low atomic
target material
cryogenically cooled
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202080075446.4A
Other languages
Chinese (zh)
Inventor
张超
M·弗里德曼
J·基姆
W·舒马克
B·克拉克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Tencor Corp filed Critical KLA Tencor Corp
Publication of CN114641685A publication Critical patent/CN114641685A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/003X-ray radiation generated from plasma being produced from a liquid or gas
    • H05G2/006X-ray radiation generated from plasma being produced from a liquid or gas details of the ejection system, e.g. constructional details of the nozzle
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/20008Constructional details of analysers, e.g. characterised by X-ray source, detector or optical system; Accessories therefor; Preparing specimens therefor
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/201Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials by measuring small-angle scattering
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/008X-ray radiation generated from plasma involving a beam of energy, e.g. laser or electron beam in the process of exciting the plasma

Abstract

Presented herein are methods and systems for generating X-ray illumination from Laser Produced Plasma (LPP) employing low atomic number cryogenic targets. A highly focused short duration laser pulse is directed to a low atomic number cryo-frozen target, igniting a plasma. In some embodiments, the target material comprises one or more elements having an atomic number less than 19. In some embodiments, the low atomic number cryogenic target material is coated on a surface of a cryogenically cooled barrel configured to rotate and translate relative to an incident laser. In some embodiments, a low atomic number low temperature LPP light source generates multi-spectral or broadband X-ray illumination in the soft X-ray (SXR) spectral range for measuring structural and material properties of semiconductor structures. In some embodiments, the reflection small angle X-ray scatterometry measurements are performed with a low atomic number cryogenic LPP illumination source as described herein.

Description

Laser-generated plasma illuminator with low atomic number low temperature target
Cross reference to related applications
Priority of U.S. provisional patent application No. 62/929,552, filed 2019 on 11/1/2019, filed pursuant to 35u.s.c. § 119, the subject matter of which is incorporated herein by reference in its entirety.
Technical Field
The described embodiments relate to x-ray metrology systems and methods, and more particularly to methods and systems for improved measurement accuracy.
Background
Semiconductor devices, such as logic and memory devices, are typically fabricated by a sequence of processing steps that are adapted to a sample. Various features and multiple structural levels of the semiconductor device are formed through these processing steps. For example, photolithography among other processing steps is one semiconductor fabrication process that involves creating a pattern on a semiconductor wafer. Additional examples of semiconductor fabrication processes include, but are not limited to, chemical mechanical polishing, etching, deposition, and ion implantation. Multiple semiconductor devices may be fabricated on a single semiconductor wafer and then separated into individual semiconductor devices.
During a semiconductor manufacturing process, metrology processes are used at various steps to detect defects on wafers to promote higher yields. Several metrology-based techniques, including scatterometry, diffractometry and reflectometry implementations, and associated analytical algorithms are typically used to characterize critical dimensions, film thickness, composition and other parameters of nano-scale structures.
Scatterometry critical dimension measurements have traditionally been performed on targets comprised of thin films and/or repeating periodic structures. During device fabrication, these thin films and periodic structures typically represent the actual device geometry and material structure or intermediate design. As devices (e.g., logic and memory devices) progress toward smaller nanoscale dimensions, characterization becomes more difficult. Devices incorporating complex three-dimensional geometries and materials with a variety of physical properties exacerbate the characterization difficulty.
In the process development environment of the front-end semiconductor fabrication facility, accurate information regarding the material composition and shape of the nanostructures is limited. Scatterometry optical metrology systems rely on accurate geometric and dispersion models to avoid measurement biases. With limited knowledge of the material composition and shape of the nanostructures available a priori, measurement recipe development and validation is a slow and tedious process. For example, cross-sectional Transmission Electron Microscope (TEM) images are used to guide optical scatterometry model development, but TEM imaging is slow and destructive.
Zero order diffraction signals from subwavelength structures are measured using infrared to visible scatterometry optical metrology tools. As device critical dimensions continue to shrink, scatterometry optical metrology sensitivity and capability are decreasing. Furthermore, the penetration and scattering of illumination light in the optical zone (e.g., 0.5ev to 10ev) limits the utility of conventional optical metrology systems when absorbing materials are present in the structure being measured.
Similarly, electron beam based metrology systems have difficulty penetrating semiconductor structures due to illumination, backscattering, and absorption and scattering of secondary emitted electrons.
Atomic Force Microscopy (AFM) and Scanning Tunneling Microscopy (STM) are capable of achieving atomic resolution, but they can only probe the surface of a sample. In addition, AFM and STM microscopes require long scan times, which makes these techniques impractical in High Volume Manufacturing (HVM) settings.
Scanning Electron Microscopy (SEM) achieves a medium level of resolution, but does not penetrate structures to sufficient depth. Thus, high aspect ratio holes are not well characterized. In addition, the desired charging of the sample has a detrimental effect on the imaging performance.
X-ray based scatterometry systems have shown promise to address challenging measurement applications. For example, transmission small angle X-ray scatterometry (T-SAXS) systems employing photons at hard X-ray energy levels (>15keV), grazing incidence small angle X-ray scatterometry (GI-SAXS) systems operating with photon energies above 8keV near the reflection critical angle, and reflection small angle X-ray scatterometry (RSAXS) systems employing photons in the soft X-ray (SXR) region (80eV to 5,000eV) have demonstrated the potential to address different metrology applications within the semiconductor industry.
In some embodiments, the RSAXS system provides a unique combination of sensitivity and speed. A nominal grazing incidence angle in the range between 5 and 20 degrees provides flexibility in selecting an optimal incidence angle to achieve the desired penetration into the measured structure and maximize the measurement information content with a small beam spot size (e.g., less than 50 μm).
While X-ray based metrology systems provide attractive solutions for current and future semiconductor measurement applications, the development of reliable and cost-effective X-ray illumination sources is challenging. Significant amounts of effort have been expended to develop various versions of Laser Produced Plasma (LPP) X-ray illumination sources. In LPP X-ray illumination sources, a target material is irradiated by an excitation source in a vacuum chamber to generate plasma. In some examples, the excitation source is a pulsed laser beam.
In general, optically thin plasmons of relatively high atomic number (high-Z) elements in the Extreme Ultraviolet (EUV) and soft X-ray (SXR) spectral regionsThe peak emission observed in subvolumes follows the quasi-Moseley law as described by appl.phys.lett.106, 1699903, 2015 of h. The peak wavelength λ is illustrated in equation (1)peakWherein R isIs the reed-berg (Rydberg) constant and Z is the atomic number of the element undergoing stimulated emission.
Figure BDA0003618216300000031
When the atomic number Z increases from Z50 (tin) to Z83 (bismuth), the emission peak shifts from 13.5nm to 4.0 nm. The tin-based LPP illumination source provides optimal conversion efficiency for EUV lithography at 13.5 nanometers. In addition, light generated by the tin-based LPP illumination source is efficiently reflected by a molybdenum/silicon multilayer mirror (MLM). Therefore, LPP target elements with relatively high atomic numbers are typically selected for EUV applications. Tin-based illumination sources are currently adopted by leading manufacturers of EUV lithography tools (ASML).
In some embodiments, for EUV lithography or EUV/SXR metrology applications, EUV or SXR radiation is produced by a discharge of tin. A plasma is ignited in a gaseous medium between at least two electrodes in the discharge space. The gaseous medium is produced by partial vaporisation of tin by a laser beam from the surface of the rotating disc in the discharge space. Additional description is provided in U.S. patent No. 7,427,766, the contents of which are incorporated herein by reference in their entirety.
Unfortunately, the difficulties associated with debris mitigation and target replenishment (associated with tin) significantly limit EUV tool availability and result in extremely high tool costs. Tin debris deposition on the chamber walls and optical elements of the EUV tool is significant. In some examples, a hydrogen buffer gas is used to protect and clean optics contaminated with tin debris. However, the implementation of hydrogen buffer gas leads to high costs for solving the safety problem.
To avoid the challenges associated with the use of tin targets, xenon (Z ═ 54) has been considered a suitable LPP target. The inert low temperature xenon ice used as the LPP target is chemically inactive and instantly vaporizes at room temperature. Thus, debris generated by the xenon LPP target is not deposited on the optical components. Xenon has a series of Unresolved Transition Arrays (UTAs) in several charge states in the EUV and SXR spectral ranges. Xenon therefore has the potential to produce useful emissions for lithography and metrology applications.
In some embodiments, a solid xenon ice target material is formed on the surface of a barrel cooled by liquid nitrogen. The laser pulses irradiate a small area of solid xenon target material deposited on the barrel. The barrel is rotated, translated, or both to prevent new solid xenon target material at the irradiation site. Each laser pulse produces a pit in a layer of solid xenon target material. The pits are refilled by a replenishment system that provides new xenon target material to the surface of the barrel. Additional description is provided in U.S. patent nos. 6,320,937, 8,963,110, 9,422,978, 9,544,984, 9,918,375, and 10,021,773, the contents of which are incorporated herein by reference in their entirety.
In some embodiments, a liquid xenon target material stream is used as the LPP target. In one embodiment, a xenon liquefier unit is connected to a xenon mass flow (gas) system within a vacuum chamber, and a xenon recovery unit. The xenon recovery unit is connected to the xenon liquefier unit via a capillary. A liquid xenon stream flows from the xenon liquefier unit to the xenon recovery unit through a capillary. The capillary contains an aperture that exposes the liquid xenon stream to a focused laser beam that induces emission of EUV/SXR radiation of the plasma. Additional description is provided in U.S. patent No. 8,258,485, which is incorporated herein by reference in its entirety.
In some other embodiments, a droplet of liquid xenon target material is used as the LPP target. In one embodiment, xenon is pressurized and cooled so that it liquefies. Liquid xenon is pumped as an injection through a nozzle. As the spray exits the nozzle, it begins to decay. As the spray decays, a xenon droplet forms. The droplets may be liquid or solid depending on conditions. The droplets travel to a point in the vacuum environment where they are irradiated by a laser beam to produce a plasma-emitting EUV/SXR. Additional description is provided in U.S. patent No. 9,295,147 and U.S. patent publication No. 2017/0131129a1, the contents of which are incorporated herein by reference in their entirety.
Unfortunately, the implementation of droplet-based LPP targets (e.g., tin or xenon droplets) introduces additional challenges. Droplet placement stability is critical for reliable stimulation of the plasma. To achieve suitable conversion efficiency, the droplets must accurately reach the irradiation position to ensure sufficient coupling between the target material droplets and the focused laser beam. The environment from the nozzle to the irradiation site significantly affects the positional stability. Important factors include the path length, the temperature and pressure conditions along the path, and any gas flow along the path. Many of these factors are difficult to control, which results in sub-optimal LPP illumination source performance.
Additionally, as the xenon liquid jet or series of droplets travel, a portion of the xenon evaporates and creates a xenon gas cloud surrounding the emission site. Xenon gas strongly absorbs EUV/SXR light, resulting in very inefficient extraction of usable EUV/SXR light from the LPP light source.
Moreover, xenon supply is limited and expensive. Xenon is a trace component (eighty-seven parts per billion) in the atmosphere. A complex and expensive air separation process is required to extract xenon from the atmosphere. In response, expensive recycling equipment is required to recapture as much xenon as possible from the LPP illumination source environment to minimize xenon losses.
As LPP target materials, xenon atoms are highly ionized and excited into various energetic ion states under an electron impact or laser field. One or more buffer gases (e.g., argon, neon, oxygen, nitrogen, and hydrogen) are used to decelerate and eventually stop the energetic xenon ions to prevent etching of the chamber and optical elements. To recover the xenon swept away by the buffer gas, the gas in the LPP chamber is continuously pumped out by a vacuum pump and sent to a rare gas recovery unit. The gas recovery unit separates the xenon from the buffer gas using one or more gas separation techniques and purifies the recovered xenon.
Unfortunately, xenon gas recovery units are extremely expensive and do not achieve 100% recycling efficiency. The long term cost of ownership (COO) of tools utilizing xenon gas recovery systems can be significant. Fig. 1 depicts a graphical illustration of annual cost of ownership due to loss of xenon as a function of the nominal flow rate of xenon for a tool in continuous operation. As illustrated in fig. 1, the annual cost is plotted for different recovery efficiencies. Plots 11, 12, 13, and 14 depict the annual costs associated with recovery efficiencies of 98%, 98.5%, 99%, and 99.5%, respectively. Each of these recovery efficiencies is extremely difficult to achieve in practice, but the annual costs are still quite high.
Finally, the SXR emission spectrum of xenon is broadband, similar to other high atomic number elements. Delivery optics used to extract SXR illumination from the LPP illumination source and deliver the SXR illumination to the semiconductor wafer are limited in their ability to maintain spectral purity and minimize photon flux loss, since SXR optics typically trade off photon flux for spectral purity.
In sum, the semiconductor industry continues to shrink device sizes and increase their complexity. To achieve process optimization and yield improvement, new in-line metrology tools are needed to provide accurate structural information to process developers in a fast and non-destructive manner. X-ray based metrology systems have shown promise, but improvements to LPP illumination sources for providing X-rays to the structure being measured are desirable.
Disclosure of Invention
Presented herein are methods and systems for generating X-ray illumination from laser generated plasma employing low atomic number, low temperature targets. In addition, methods and systems for measuring structural and material characteristics (e.g., material composition, dimensional characteristics, etc. of structures and films) of semiconductor structures associated with different semiconductor fabrication processes based on generated x-ray illumination are also presented.
In some embodiments, a low atomic number low temperature LPP light source directs a highly focused short duration laser source to a low atomic number low temperature target. The plasma is ignited by the interaction of the focused laser pulse with the low atomic number cryogenic target. In some embodiments, the low atomic number low temperature LPP light source produces multi-spectral or broadband X-ray illumination within the soft X-ray (SXR) spectral range (e.g., 10 electron volts to 5,000 electron volts). As described herein, low atomic number cryogenic targets include one or more elements each having an atomic number less than 19.
In some embodiments, the low atomic number cryogenic target material is coated on a surface of a cryogenically cooled barrel configured to rotate and translate relative to an incident laser. When low atomic number, low temperature target material is removed from the surface of the barrel by plasma, replacement target material is deposited onto the surface of the barrel in either the liquid or vapor phase. The deposited material freezes onto the surface of the barrel. The thickness of frozen low atomic number target material on the surface of the barrel is maintained by a wiper mechanism.
Low atomic number low temperature LPP light sources have a relatively large lateral extent (e.g., hundreds of millimeters in both lateral directions). The large lateral area minimizes the lateral stability requirements for target positioning because the target area is so large compared to drop-based targets. Similarly, repositioning of the position of the plasma light source is easily achieved by simply controlling the target of the pump laser beam to reposition the point of incidence to another location of the target. Finally, the use of low atomic number materials as emissive materials minimizes costs because there are many low atomic number materials (e.g., carbon, oxygen, nitrogen, etc.) that are available in large quantities in the environment. Therefore, there is no need to employ an expensive rare gas recirculation system. These materials can be frozen and used in their pure form as low atomic number cryogenic targets or dissolved in a solvent and then frozen and used as low atomic number cryogenic targets.
In one aspect, the RSAXS measurement is performed using x-ray radiation produced by a low atomic number low temperature LPP illumination source. X-ray illuminating radiation emitted from a low atomic number low temperature LPP light source passes through the beam line and is focused onto the semiconductor wafer being measured.
In another further aspect, the low atomic number low temperature LPP light source includes a debris management system including a directed flow of buffer gas in the plasma chamber and a vacuum pump to evacuate the buffer gas and any contaminants.
In another further aspect, a low atomic number low temperature LPP light source includes a magnetic field source spanning a portion of a plasma chamber to drive dynamic ions toward a flow of buffer gas within the plasma chamber. In this way, the magnetic field facilitates removal of the dynamic ions by driving the dynamic ions into the flow of buffer gas as the buffer gas flows through the plasma chamber towards a vacuum pump for exhausting the buffer gas from the plasma chamber.
In another aspect, an x-ray based metrology system includes a plurality of detectors to separately detect a zero diffraction order and higher diffraction orders. In general, any combination of multiple detectors may be considered to detect the zero and higher diffraction orders.
In another aspect, an X-ray based metrology system includes a multilayer diffractive optical structure positioned in an illumination path to filter X-ray illumination light. In this way, the need for a vacuum window in the illumination path is eliminated.
In another aspect, an x-ray based metrology system includes a zone plate structure located in an illumination path to refocus excitation light back to a laser-generated plasma source. In this way, the plasma is excited using radiation that could otherwise be discarded.
The foregoing is a summary and thus contains, by necessity, simplifications, summaries and omissions of detail; consequently, those skilled in the art will appreciate that the summary is illustrative only and is not intended to be in any way limiting. Other aspects, inventive features, and advantages of the devices and/or processes described herein will become apparent in the non-limiting detailed description set forth herein.
Drawings
Fig. 1 is a simplified diagram illustrating the costs associated with xenon loss during continuous operation of a Laser Produced Plasma (LPP) illumination source.
FIG. 2 is a simplified diagram illustrating an embodiment of a metrology system in at least one novel aspect including a Laser Produced Plasma (LPP) X-ray illumination source having a low atomic number cryogenic target for measuring a characteristic of a sample.
Fig. 3 is a graph 140 illustrating a simulation of molecular density in a dielectric barrier discharge plasma as a function of time during discharge for a specific energy input of 129 joules/cubic centimeter.
FIG. 4 is a graph illustrating nitrogen (N)2) Graph 150 of a simulation of the stopping range of carbon, oxygen, and xenon ions in a gas as a function of the energy of the ions.
Fig. 5 depicts a plot 170 of simulated emission spectra associated with an LPP X-ray illumination source employing carbon as a low atomic number, low temperature target.
Fig. 6 depicts a plot 173 of a simulated emission spectrum associated with an LPP X-ray illumination source employing nitrogen as a low atomic number, low temperature target.
Fig. 7 depicts a plot 176 of a simulated emission spectrum associated with an LPP X-ray illumination source employing oxygen as a low atomic number cryogenic target.
FIG. 8 is a simplified diagram illustrating an exemplary model construction and analysis engine.
FIG. 9 is a simplified diagram illustrating another embodiment of a metrology system in at least one novel aspect including a Laser Produced Plasma (LPP) X-ray illumination source having a low atomic number cryogenic target for measuring a characteristic of a sample.
FIG. 10 is a simplified diagram illustrating yet another embodiment of a metrology system in at least one novel aspect including a Laser Produced Plasma (LPP) X-ray illumination source having a low atomic number cryogenic target for measuring a characteristic of a sample.
FIG. 11 is a simplified diagram illustrating yet another embodiment of a metrology system in at least one novel aspect including a Laser Produced Plasma (LPP) X-ray illumination source having a low atomic number cryogenic target for measuring a characteristic of a sample.
FIG. 12 is a flow chart of a method of performing measurements of a semiconductor wafer using a metrology system employing an LPP X-ray illumination source having a low atomic number cryogenic target in accordance with the methods described herein.
Detailed Description
Reference will now be made in detail to background art examples and some embodiments of the invention, examples of which are illustrated in the accompanying drawings.
Presented herein are methods and systems for generating X-ray illumination from laser generated plasma employing low atomic number, low temperature targets. In addition, methods and systems for measuring structural and material characteristics (e.g., material composition, dimensional characteristics, etc. of structures and films) of semiconductor structures associated with different semiconductor fabrication processes based on generated x-ray illumination are also presented.
In some embodiments, a Laser Produced Plasma (LPP) light source produces high brightness (i.e., greater than 10)13Photon/(sec. mm)2.mrad21% bandwidth)) x-ray illumination. To achieve this high brightness, the LPP light source will direct a highly focused short duration laser source to a low atomic number cryogenic target. The plasma is ignited by the interaction of the focused laser pulse with the low atomic number cryogenic target. Radiation from the plasma is collected by collection optics and directed to the sample being measured.
In some embodiments, the low atomic number low temperature LPP light source produces multi-spectral or broadband X-ray illumination within the soft X-ray (SXR) spectral range (e.g., 10 electron volts to 5,000 electron volts). The SXR spectral range as defined herein may include all or part of the Vacuum Ultraviolet (VUV) spectral range, Extreme Ultraviolet (EUV) spectral range, soft X-ray range, and hard X-ray range as defined in other documents. As described herein, low atomic number cryogenic targets include one or more elements each having an atomic number less than 19.
Low atomic number low temperature LPP light sources have a relatively large lateral extent (e.g., hundreds of millimeters in both lateral directions). The large lateral area minimizes the lateral stability requirements for target positioning because the target area is so large compared to drop-based targets. Similarly, repositioning of the position of the plasma light source is easily achieved by simply controlling the target of the pump laser beam to reposition the point of incidence to another location of the target. Finally, the use of low atomic number materials as emissive materials minimizes costs because there are many low atomic number materials (e.g., carbon, oxygen, nitrogen, etc.) that are available in large quantities in the environment. Therefore, there is no need to employ an expensive rare gas recirculation system. These materials can be frozen and used in their pure form as low atomic number cryogenic targets or dissolved in a solvent and then frozen and used as low atomic number cryogenic targets.
Fig. 2 depicts an x-ray based metrology system 100 in one embodiment. By way of non-limiting example, the X-ray based metrology system 100 is configured as a reflection small angle X-ray scatterometry (RSAXS) system. In some embodiments, the RSAXS measurements are performed at one or more wavelengths within a soft x-ray (SXR) region (e.g., 10eV to 5000eV) at a nominal grazing incidence angle in a range of 1 to 45 degrees. The grazing angle for a particular measurement application is selected to achieve the desired penetration into the measured structure and to maximize the measurement information content with a small beam spot size (e.g., less than 50 microns). The RSAXS system (e.g., metrology system 100) enables measurement of parameters of interest including critical dimension, overlay, and edge placement errors. SXR illumination enables overlay measurements on design rule targets because the illumination wavelength is shorter than the period of the measured structure. This provides a significant benefit over the prior art, where overlay is measured on targets larger than the design rules. The use of SXR wavelengths permits target design with process design rules, i.e., without "non-zero offset". In some embodiments, overlay metrology targets measured for RSAXS may be used to measure both overlay and critical dimensions. This also enables measurement of Edge Placement Error (EPE) (e.g., stub shortening, wire-to-contact distance, etc.).
In one aspect, the RSAXS measurement is performed using x-ray radiation produced by a low atomic number low temperature LPP illumination source. As depicted in fig. 2, the x-ray based metrology system 100 includes a low atomic number, low temperature LPP light source 101, a beamline 200, and a wafer metrology subsystem 300. X-ray illumination radiation emitted from the low atomic number low temperature LPP light source 101 passes through the beam line 200 and is focused onto the semiconductor wafer 306. X-ray radiation is collected from the semiconductor wafer 306 in response to incident X-ray illumination radiation and detected. Values of one or more parameters of interest characterizing one or more structures 307 disposed on a semiconductor wafer 306 are estimated based on the detected X-ray radiation.
As depicted in fig. 2, the low atomic number low temperature LPP light source 101 includes a barrel 106 coated with a layer of low atomic number low temperature target material 107. The rotational actuation system 108 rotates the barrel 106 about the axis a. In addition, the linear actuation system 109 translates the barrel 106 along axis a. In the embodiment depicted in fig. 2, the computing system 130 communicates control commands to the rotary actuator system 108 and the linear actuator system 109 that cause the rotary actuator system 108 to rotate the tub 106 at a desired angular velocity and cause the linear actuator system 109 to drive the tub 106 at a desired linear velocity. In this manner, the trajectory of the surface of the barrel 106 that is exposed to the illumination light from the laser illumination source 114 is controlled by the computing system 130.
A controlled liquid nitrogen stream 102 is circulated through the barrel 106 to maintain the surface of the barrel 106 at a temperature that maintains the low atomic number target material 107 in a solid state. As the low atomic number, low temperature target material 107 is removed from the surface of the barrel 106 by the plasma 103, a replacement target material is deposited onto the surface of the barrel 106 in a liquid or vapor phase, which is then frozen onto the surface of the barrel 106. As depicted in fig. 2, the source of target material 110 provides a low atomic number target material in a vapor phase or a liquid phase to the pump 112. A pulse damper 113 is located near the output of the pump 112 to remove any high frequency pressure pulsations that may be introduced by the pump 112. The pump 112 pressurizes a low atomic number target material stream 124, which is delivered through the nozzle 104 to the surface of the barrel 106. The thickness of the frozen low atomic number target material on the surface of the barrel 106 is maintained by a wiper mechanism 105 (e.g., blades located at a fixed distance from the surface of the cryogenically cooled barrel 106). In some embodiments, the thickness of the low atomic number target material deposited on the cryogenically cooled barrel is between 200 microns and 1 millimeter.
The pulsed laser illumination source 114 emits a series of excitation (pumping) light pulses directed toward the surface of the barrel 106. As depicted in fig. 2, the excitation light passes through a beam expander 115, one or more focusing optics 116, and an optical window 117 to reach the low atomic number cryogenic target material deposited on the surface of the barrel 106. The interaction of the excitation light pulses with the target material causes ionization of the target material to form a plasma 103, which emits x-ray illumination light having extremely high brightness. In a preferred embodiment, the brightness of plasma 103 is greater than 1013Photon/(sec) · (mm2) · (mrad2) · (1% bandwidth).
The focusing optics 116 focus the excitation light onto the target material in a very small spot size. In some embodiments, the excitation light is focused onto the target material with a spot size of less than 100 microns. In some embodiments, the excitation light is focused onto the target material with a spot size of less than 20 microns. In a preferred embodiment, the excitation light is focused onto the target material with a spot size of less than 10 microns. As the spot size of the excitation light decreases, the spot size of the induced plasma decreases. In some embodiments, the spot size of plasma 103 is less than 400 microns. In some embodiments, the spot size of plasma 103 is less than 100 microns. In some embodiments, the spot size of plasma 103 is less than 20 microns.
In some embodiments, pulsed laser illumination source 114 is an ytterbium (Yb) -based solid state laser. In some other embodiments, the pulsed laser illumination source 114 is a neodymium (Nb) based solid state laser. In some embodiments, the pulsed laser illumination source 114 is, for example, a picosecond laser operating at a wavelength within the IR range (e.g., 1 micron). In some embodiments, the excitation light has a beam quality factor of M2<2.0, pulse duration in the range from 5 picoseconds to 500 picoseconds, pulse energy in the range from 10 millijoules to 500 millijoules, peak power in the range from 50 megawatts to 1,000 megawatts, maintenance at 1013W/cm2Or higher focused laser intensity and a contrast ratio of greater than 200.
As the barrel 106 rotates and translates, a pit track following a helical path along the surface of the barrel 106 is formed as a result of exposure to excitation illumination from the pulsed laser illumination source 114. However, the nozzle 104 deposits new target material and the wiper mechanism 105 smoothes the deposited material onto the surface of the barrel 106. Thus, the pits are filled before the next exposure to the excitation illumination from the pulsed laser illumination source 114. As depicted in fig. 2, the nozzle 104 has an outlet orifice located at a fixed distance from the surface of the barrel 106. In some embodiments, nozzle 104 is mechanically coupled, directly or indirectly, to plasma chamber 125, maintaining a fixed distance from the surface of barrel 106 with high stability. The low atomic number target material stream 124 exits the outlet orifice of the nozzle and is deposited onto the surface of the cryogenically cooled barrel as the cryogenically cooled barrel rotates and translates. In some embodiments, the low atomic number target material stream exits the outlet orifice of the nozzle 104 in a gaseous phase. In some embodiments, the flow of the low atomic number target material exits the outlet orifice of the nozzle 104 in the liquid phase. Similarly, the squeegee mechanism 105 is located at a fixed distance from the surface of the barrel 106. In some embodiments, the wiper mechanism 105 is coupled directly or indirectly to the plasma chamber 125 to maintain a fixed distance from the surface of the cryogenically cooled bucket. In this manner, as the cryogenically cooled barrel rotates and translates, the wiper mechanism 105 wipes the low atomic number target material cryogenically frozen to the surface of the cryogenically cooled barrel to a predetermined thickness.
In general, the low atomic number low temperature LPP X-ray illumination source may employ any suitable material or combination of materials as the low atomic number low temperature target. However, it is preferable to employ a material including an element having a relatively low atomic number. In some embodiments, the low atomic number cryogenic target comprises one or more materials, each material comprising a material having a respective thickness less than 19 (Z)<19) One or more elements of atomic number (v). The low atomic number cryogenic target is maintained in the solid or gas phase during delivery to the barrel 106 by providing suitable pressure and temperature conditions. In some embodiments, the low atomic number cryogenic target comprises a liquid solvent that maintains another material in solution. In some of these embodiments, the solvent comprises aOr a plurality of materials, each material comprising a material having a thickness of less than 19 (Z) each<19) One or more elements of atomic number (v). By way of non-limiting example, suitable low atomic number cryogenic target materials include ethanol, water, hydrocarbons, CO2、N2O、CO、N2、O2、F2、H2O2Urea, ammonium hydroxide, sodium hydroxide, magnesium hydroxide, aluminum hydroxide, silicon hydroxide (e.g., hydroxides in the form of soda, such as NaOH (caustic soda), Na2CO3 (scrubber soda), NaHCO3 (baking soda)), salts (e.g., fluoride salts, chloride salts that are soluble in liquid solvents), and any low atomic number material (Z) that is soluble in liquid solvents<19)。
Fig. 5 depicts a plot 170 of simulated emission spectra associated with the spectral contribution of carbon to radiation emitted from an LPP X-ray illumination source employing a target material comprising carbon as a constituent. Plot 171 depicts an emission spectrum associated with a plasma temperature of 100 electron volts. Plot 172 depicts the emission spectrum associated with a plasma temperature of 500 electron volts.
Fig. 6 depicts a plot 173 of simulated emission spectra associated with the spectral contribution of nitrogen to radiation emitted from an LPP X-ray illumination source employing a target material comprising nitrogen as a component. Plot line 174 depicts an emission spectrum associated with a plasma temperature of 100 electron volts. Plot 175 depicts the emission spectrum associated with a plasma temperature of 500 electron volts.
Fig. 7 depicts a plot 176 of a simulated emission spectrum associated with the spectral contribution of oxygen to radiation emitted from an LPP X-ray illumination source employing a target material comprising oxygen as a component. Plot 177 depicts the emission spectrum associated with a plasma temperature of 100 electron volts. Plot line 178 depicts the emission spectrum associated with a plasma temperature of 500 electron volts.
As illustrated in fig. 5-7, there is a strong line emission over a broad plasma temperature range for all of these low atomic number materials. In addition, the spectral line emission is well within the reflectivity bandwidth of the MLM optics. It is therefore expected that the spectral purity of low atomic number low temperature LPP light sources should be significantly better than LPP light sources employing tin-based or xenon-based target materials.
FIG. 3 depicts Specific Energy Input (SEI) for 129 joules/cubic centimeter during discharge for CO2A plot 140 of simulated molecular density as a function of time in a dielectric barrier discharge plasma of a low temperature target material. As illustrated by fig. 3, the molecular density in a dielectric barrier discharge plasma is comparable to the plasma dynamics and chemistry in an LPP plasma. Additional description is provided by Chemsuschem-ISSN 1864-. As illustrated in FIG. 3, the primary dissociation pathway is CO2Splitting into CO and O. CO 22And CO are both stable molecules. After 100 nanoseconds, e.g. CO2 +Is at least three orders of magnitude lower than CO. Thus, CO as an LPP plasma target2Is effectively debris-free. In addition, CO2Acts like a cleaner for oxygen from the plasma chamber.
In another further aspect, the low atomic number low temperature LPP light source includes a debris management system including a directed flow of buffer gas in the plasma chamber and a vacuum pump to evacuate the buffer gas and any contaminants. As depicted in fig. 2, the plasma chamber 125 includes one or more walls that enclose the buffer gas flow 121 within the plasma chamber. The buffer gas prevents high kinetic energy ions and neutrals from depositing on sensitive optical elements close to the plasma 103. As depicted in fig. 2, the buffer gas flow 119 is distributed within the plasma chamber 125 by one or more gas cones 120. In some embodiments, each gas cone 120 directs a high velocity longitudinal gas flow toward the source of debris (i.e., the plasma 103) to prevent the debris from reaching one or more optical elements. In some embodiments, one or more gas cones are placed before the window 117, the beamline 200, and the flux monitor 118. In some embodiments, a buffer gas flow is provided around the location of the plasma 103 to promote contaminant flow away from the immediate vicinity of the plasma 103. Additional description of debris mitigation techniques including gas cones is provided in U.S. patent No. 10,101,664, the contents of which are incorporated herein by reference in their entirety. As depicted in fig. 2, a vacuum pump 122 is employed to draw a contaminated buffer gas stream 121 from a plasma chamber 125. The pumped material 123 is exhausted from the system without the need to recycle the buffer gas material or target material pumped by the vacuum pump 122, as these materials are low cost.
Fig. 4 depicts a graph 150 illustrating the stopping range of oxygen, carbon, and xenon ions in nitrogen (N2) gas as a function of the energy of the ions. Plot 151 depicts the average stopping range associated with stopping each xenon ion in the set of xenon ions at the plotted ion energies. Plot 152 depicts the average stopping range associated with stopping each oxygen ion in the set of oxygen ions at the plotted ion energies. Plot 153 depicts the average stopping range associated with stopping each carbon ion in the set of carbon ions at the plotted ion energies. When N is used, as illustrated in FIG. 42Both carbon and oxygen ions require a larger stopping range for the buffer gas than xenon ions.
As illustrated in fig. 4, oxygen ions with an initial kinetic energy (i.e., ion energy) of 30 kev require a stop range of 30 mbar-cm in nitrogen buffer gas. For example, maintaining a nitrogen buffer gas at 3 mbar will have a significant opportunity to stop oxygen ions having an initial kinetic energy of up to 30 kev within a path length of 10 cm. In another example, a nitrogen buffer gas maintained at 1 mbar will have a high probability of stopping oxygen ions having an initial kinetic energy of up to 30 kev within a 30 cm path length. In some embodiments, the distance between the window of plasma chamber 125 and plasma 103 is at least 10 centimeters.
In another further aspect, a low atomic number low temperature LPP light source includes a magnetic field source spanning a portion of a plasma chamber to drive dynamic ions toward a flow of buffer gas within the plasma chamber. In this way, the magnetic field facilitates removal of the dynamic ions by driving the dynamic ions into the flow of buffer gas as the buffer gas flows through the plasma chamber towards a vacuum pump for exhausting the buffer gas from the plasma chamber. In some examples, a set of permanent magnets, electromagnets, or the like, is disposed across the field of the buffer gas flow to generate a magnetic field that drives ions into the buffer gas flow prior to its extraction.
As depicted in fig. 2, X-ray illumination emitted by the plasma 103 exits the plasma chamber 125, passes through the beamline 200, and enters the wafer metrology subsystem 300. Generally, the X-ray illumination path from the plasma 103 to the wafer 306 includes a number of illumination control elements to shape, direct, and filter the X-ray illumination light. In some embodiments, an energy filter is included in the illumination path to select a desired beam energy. In some embodiments, one or more optical elements are located in the illumination path to control beam divergence, angle of incidence, azimuth, or any combination thereof. In some embodiments, a vacuum window is located in the illumination path to separate the environment of plasma chamber 125 from the environment of wafer metrology subsystem 300. In some of these embodiments, the vacuum window material, one or more thin films deposited on the vacuum window, or both are selected to filter the energy of the X-ray illumination light passing through the vacuum window. In some embodiments, one or more optical elements are positioned in the illumination path to magnify or demagnify the X-ray illumination beam. In some embodiments, a diffraction grating structure is fabricated on the surface of one or more illumination optical elements to enhance the spectral purity of the X-ray illumination light.
In the embodiment depicted in fig. 2, X-ray illumination emitted by the plasma 103 enters the beam line 200 and passes through a pneumatic gate valve 201A, a vacuum window 202, an orifice system 203, a vacuum window monitoring and safety device 204, and a pneumatic gate valve 201B. Pneumatic gate valves 201A and 201B are located on both ends of the wire harness 200. During metrology system operation, pneumatic gate valves 201A and 201B remain open. However, in situations where isolation between the plasma chamber 125 and the metrology chamber 311 is desired, one or more of the pneumatic gate valves 201A and 201B are closed. When the two pneumatic gate valves 201A and 201B are closed, a beamline chamber is formed that is environmentally isolated from both the plasma chamber 125 and the metrology chamber 311.
In the embodiment depicted in fig. 2, a vacuum window 202 is located in the illumination path between pneumatic gate valves 201A and 201B to separate the vacuum environment of plasma chamber 125 from metrology chamber 311. In one embodiment, the vacuum window 202 includes a thin coating to prevent infrared wavelengths generated by the pulsed laser illumination source 114 from reaching the metrology subsystem 300.
The aperture system 203 controls the x-ray illumination beam numerical aperture, nominal grazing incidence Angle (AOI), and azimuth angle at the wafer 306. In some embodiments, the orifice system 203 is a four-blade programmable orifice device. In some embodiments, computing system 130 communicates control commands (not shown) to aperture system 203 to control the position of each of the four blades relative to the X-ray illumination beam to achieve the desired beam numerical aperture, nominal grazing incidence Angle (AOI), and azimuth angle at wafer 306.
In general, an RSAX metrology system (e.g., metrology system 100) includes one or more beam slits or apertures to shape an x-ray illumination beam incident on wafer 306 and to selectively block portions of the illumination light that would otherwise illuminate the measured metrology target. One or more beam slits define a beam size and shape such that the x-ray illumination spot fits within the area of the metrology target being measured. In addition, one or more beam slits define the illumination beam divergence to minimize overlap of diffraction orders on the detector.
As illustrated in fig. 2, a vacuum window monitoring and safety device 204 is positioned across the beamline 200 between the vacuum window 202 and the metrology chamber 300. The vacuum window monitoring and safety device 204 monitors the integrity of the vacuum window 202. If the vacuum window 202 has a mechanical failure (i.e., is broken or otherwise broken into one or more pieces), the vacuum window monitoring and safety device 204 quickly closes the space across the wire harness 200 to capture any debris of the vacuum window 202 and prevent the debris from contaminating the metrology chamber 300. In some embodiments, the vacuum window monitoring and safety device 204 includes a fast mechanical shutter or pneumatic actuator to rapidly close any space across the beamline 200. In some embodiments, activation of the vacuum window monitoring and safety device 204 also triggers the closing of the pneumatic gate valves 201A and 201B to provide additional protection. However, due to the relatively large mass, it may take more time to fully close the pneumatic gate valves 201A and 201B and isolate the beamline chamber.
In the embodiment depicted in FIG. 2, the X-ray illumination entering the metrology subsystem 300 from the beam line 200 is incident on an ellipsoidal mirror 303. In some embodiments, the ellipsoidal mirror 303 images the X-ray illumination source spot onto the metrology target 307 disposed on the wafer 306 with a demagnification factor in the range from 0.5 to 0.1 (i.e., projects an image of the source onto a wafer that is 1/2 to 1/10 of the source size). In one embodiment, an RSAXS system as described herein employs an X-ray illumination source having a source region characterized by a lateral dimension of 20 microns or less (i.e., the source size is 20 microns or less) and a focusing mirror having a demagnification factor of 0.1. In this embodiment, the focusing mirror projects illumination onto wafer 306 at an incident illumination spot size of two microns or less.
The X-ray illumination source spot is located at one focal point of the ellipsoidal mirror 303 and the metrology target 307 is located at the other focal point of the ellipsoidal mirror 303. The ellipsoidal mirror 303 comprises a membrane Mirror Light Modulator (MLM) having a graded thickness to compensate for grazing incidence angle variations across the surface of the ellipsoidal mirror 303. The clear aperture of the ellipsoidal mirror 303 defines the maximum Numerical Aperture (NA)301 from the X-ray illumination source spot and the maximum NA 305 to the wafer 306. By controlling the aperture system 203, the grazing AOI, NA, and azimuthal angle of the wafer 306 can be scanned within the maximum NA cone 305. For example, fig. 2 illustrates NA 304 within a maximum NA cone 305.
Generally, focusing optics (e.g., elliptical mirror 303) collect the source emission and select one or more discrete wavelengths or spectral bands and focus the selected light onto the wafer 306 at a nominal grazing incidence angle in the range of 1 degree to 45 degrees.
In some embodiments, the focusing optics include a graded multilayer that selects a desired wavelength or range of wavelengths for projection onto the wafer 306. In some examples, the focusing optics include a graded multilayer structure (e.g., a layer or coating) that selects one wavelength and projects the selected wavelength onto the wafer 306 over a range of incident angles. In some examples, the focusing optics include a graded multilayer structure that selects a range of wavelengths and projects the selected wavelengths onto the wafer 306 over one angle of incidence. In some examples, the focusing optics include a graded multilayer structure that selects a range of wavelengths and projects the selected wavelengths onto the wafer 306 over a range of incident angles.
The graded multilayer optical device preferably minimizes the light loss that occurs when the single layer grating structure is too deep. Generally, multilayer optics select a reflected wavelength. Spectral bandwidth optimization of the selected wavelengths optimizes the fluence provided to the wafer 306, the information content in the measured diffraction orders, and prevents signal degradation by angular dispersion and diffraction peak overlap at the detector. In addition, graded multilayer optics are used to control divergence. The angular divergence at each wavelength is optimized to achieve flux at the detector and minimal spatial overlap.
In some examples, graded multilayer optics select wavelengths to enhance contrast and information content of diffraction signals from specific material interfaces or structure dimensions. For example, the selected wavelengths may be selected to span an element-specific resonance region (e.g., silicon K-edge, nitrogen, oxygen K-edge, etc.). Additionally, in these examples, the illumination source may also be tuned to maximize flux in selected spectral regions (e.g., HHG spectral tuning, LPP laser tuning, etc.).
In the embodiment depicted in FIG. 2, X-ray based metrology system 100 includes a wafer positioning system 320 that positions and orients wafer 306 relative to incident X-ray illumination. In some embodiments, wafer positioning system 320 is configured to rotate wafer 306 to perform angle-resolved measurements of wafer 306 at any number of locations on the surface of wafer 306. In one example, the computing system 130 communicates command signals (not shown) to a motion controller of the wafer positioning system 320 that indicate the desired position and orientation of the wafer 306. In response, the motion controller generates command signals to the various actuators of the wafer positioning system 320 to achieve the desired position and orientation of the wafer 306.
In some embodiments, the metrology system 100 includes one or more collection optical elements that collect light from the wafer 306 and direct at least a portion of the collected light to the detector 310. In some embodiments, one or more aperture elements (e.g., slits) are located in the x-ray collection path to block some of the reflected light, one or more diffraction orders. In some embodiments, one or more spatial attenuators are located in the collection path to selectively attenuate (i.e., reduce the intensity) some of the reflected light, e.g., selectively reduce the intensity of one or more diffraction orders. In the embodiment depicted in fig. 2, the spatial attenuator 309 is located in a portion of the collection path associated with the zero level. In this way, the spatial attenuator 309 equalizes the intensity of the zero diffraction order with the intensity of the higher diffraction order prior to detection by the detector 310. It may be advantageous to attenuate the intensity of the zeroth order relative to the higher diffraction orders to avoid saturating the detector 310 when the intensity of the zeroth order is significantly greater than any of the higher diffraction orders. In other embodiments, a beam stop is employed to block the zeroth order to prevent unwanted flare across the photosensitive surface of the detector caused by strong zeroth order reflections.
The metrology system 100 also includes one or more detectors to measure intensities, energies, wavelengths, etc. associated with the diffraction orders. In some embodiments, detector 310 detects diffracted light at multiple wavelengths and incident angles. In some embodiments, the position, orientation, or both of the detector 310 is controlled to capture diffracted light from the metrology target 307.
As depicted in fig. 2, X-ray detector 310 detects X-ray radiation scattered from wafer 306 according to an RSAXS measurement modality and generates output signals 135 indicative of properties of wafer 306 that are sensitive to incident X-ray radiation. In some embodiments, scattered x-rays are collected by x-ray detector 310, while sample positioning system 320 positions and orients wafer 306 to produce angle-resolved scattered x-rays.
In some embodiments, the RSAXS system includes a high dynamic range(e.g., greater than 10)5) One or more photon counting detectors. In some embodiments, a single photon counting detector detects the location and number of photons detected.
In some embodiments, the x-ray detector resolves one or more x-ray photon energies and generates a signal indicative of a property of the sample for each x-ray energy component. In some embodiments, x-ray detector 310 includes any of the following: CCD arrays, microchannel plates, photodiode arrays, microstrip ratio counters, gas-filled ratio counters, scintillators, or fluorescent materials.
In this way, X-ray photon interactions within the detector are distinguished by energy in addition to pixel location and count number. In some embodiments, the X-ray photon interactions are distinguished by comparing the energy of the X-ray photon interactions to a predetermined upper threshold and a predetermined lower threshold. In one embodiment, this information is passed to computing system 130 via output signal 135 for further processing and storage.
Due to the angular dispersion in diffraction, the diffraction patterns produced by illuminating the periodic target simultaneously with multiple illumination wavelengths are separated at the detector plane. In these embodiments, an integrating detector is employed. The diffraction pattern is measured using an area detector (e.g., a vacuum compatible backside CCD or hybrid pixel array detector). The angular sampling is optimized for the Bragg (Bragg) peak integration. If pixel level model fitting is employed, then the angular sampling is optimized for the signal information content. The sampling rate is selected to prevent saturation of the zero-level signal.
In a further aspect, an RSAXS system is employed to determine a property (e.g., a structural parameter value) of the sample based on one or more diffraction orders of the scattered light. As depicted in fig. 2, the metrology system 100 includes a computing system 130 for acquiring the signal 135 generated by the detector 310 and determining a property of the wafer 306 based at least in part on the acquired signal 135.
In some examples, the RSAXS-based metric involves determining the size of the sample by inverse solution of a predetermined measurement model with the measured data. The measurement model contains several (about ten) adjustable parameters and represents the geometry and optical properties of the sample and the optical properties of the measurement system. Inverse solution methods include, but are not limited to, model-based regression, tomography, machine learning, or any combination thereof. In this way, target profile parameters are estimated by solving values of the parameterized measurement model that minimize the error between the measured scattered x-ray intensities and the modeled results.
In some examples, it is desirable to perform measurements over a wide range of wavelengths, angles of incidence, and azimuth angles to increase the accuracy and precision of the measured parameter values. This approach reduces the correlation among the parameters by expanding the number and diversity of data sets available for analysis.
A measurement of the intensity of the diffracted radiation as a function of the wavelength of illumination and the angle of incidence of x-rays with respect to the normal to the wafer surface is collected. The information contained in the multiple diffraction orders is typically unique between each model parameter under consideration. Thus, x-ray scatter produces estimates of the values of the parameters of interest with small errors and reduced parameter dependence.
In another further aspect, the computing system 130 is configured to generate a structural model (e.g., a geometric model, a material model, or a combined geometric and material model) of the measured structure of the sample, generate an x-ray scatterometry response model that includes at least one geometric parameter from the structural model, and resolve at least one sample parameter value by performing a fitting analysis of the x-ray scatterometry measurement data with the x-ray scatterometry response model. An analysis engine is used to compare the simulated x-ray scatterometry signals with the measured data, thereby allowing the geometric, e.g., electron density, and material properties of the sample to be determined. In the embodiment depicted in fig. 2, computing system 130 is configured as a model build and analysis engine configured to implement model build and analysis functionality as described herein.
FIG. 8 is a diagram illustrating an exemplary model build and analysis engine 180 implemented by computing system 130. As depicted in fig. 8, model build and analysis engine 180 includes a structure model build module 181 that generates a structure model 182 of the measured structure of the sample. In some embodiments, the structural model 182 also includes material properties of the sample. The structure model 182 is received as input to the RSAXS response function building module 183. RSAXS response function building module 183 generates RSAXS response function model 184 based at least in part on structure model 182. In some instances, the RSAXS response function model 184 is based on x-ray form factors, also referred to as structure factors,
Figure BDA0003618216300000171
where F is the form factor, q is the scattering vector, and ρ (r) is the electron density of the sample in spherical coordinates. The x-ray scatter intensity is then given by the following equation
Figure BDA0003618216300000172
The RSAXS response function model 184 is received as input to the fitting analysis module 185. The fit analysis module 185 compares the modeled RSAXS response to the corresponding measured data to determine the geometry and material properties of the sample.
In some examples, fitting of the modeled data to the experimental data is achieved by minimizing the chi-squared value. For example, for RSAXS measurements, a chi-squared value may be defined as
Figure BDA0003618216300000173
Wherein
Figure BDA0003618216300000174
Is the measured RSAXS signal 126 in "channel" j, where the index j describes a set of system parameters (e.g., diffraction order, energy, angular coordinate, etc.).
Figure BDA0003618216300000175
Is directed to group structureModeled RSAXS Signal S for a "channel" j of a (target) parameter evaluationjWhere these parameters describe geometry (CD, sidewall angle, overlap ratio, etc.) and material (electron density, etc.). SigmaSAXS,jIs an uncertainty factor associated with the jth channel. N is a radical of hydrogenSAXSIs the total number of channels in the x-ray metrology. L is the number of parameters characterizing the metrology target.
Equation (4) assumes that the uncertainty factors associated with the different channels are uncorrelated. In instances where uncertainties associated with different channels are related, the covariance between the uncertainties may be calculated. In these examples, the chi-squared value of the RSAXS measurement may be expressed as
Figure BDA0003618216300000176
Wherein VSAXSIs the covariance matrix of SAXS channel uncertainties and T denotes transpose.
In some examples, the fitting analysis module 185 resolves the at least one sample parameter value by performing a fitting analysis on the RSAXS measurement data 135 and the RSAXS response model 184. In some instances, will
Figure BDA0003618216300000177
And (6) optimizing.
As described above, the fitting of the RSAXS data is achieved by minimizing the chi-squared value. In general, however, the fitting of the RSAXS data may be accomplished by other functions.
The fitting of the RSAXS metrology data is advantageous for any type of RSAXS technique that provides sensitivity to geometric and/or material parameters of interest. The sample parameters may be deterministic (e.g., CD, SWA, etc.) or statistical (e.g., rms height of sidewall roughness, roughness correlation length, etc.), so long as an appropriate model describing the RSAXS beam interaction with the sample is used.
In general, the computing system 130 is configured to access the model parameters in real-time using real-time critical dimension marking (RTCD), or it may access a library of pre-computed models to determine a value for at least one sample parameter value associated with the sample 101. In general, some form of CD engine may be used to evaluate the difference between the assigned CD parameter of the sample and the CD parameter associated with the measured sample. Exemplary methods and systems for calculating sample parameter values are described in U.S. patent No. 7,826,071, issued to KLA-Tencor Corp, 11/2/2010, which is incorporated herein by reference in its entirety.
In some examples, model build and analysis engine 180 improves the accuracy of the measured parameters by any combination of side-feed analysis, feed-forward analysis, and parallel analysis. Side-fed analysis refers to taking multiple data sets for different regions of the same sample and passing a common parameter determined from a first data set onto a second data set for analysis. Feed forward analysis refers to taking data sets for different samples and forwarding the common parameters to subsequent analysis using a step-by-step replication accurate parameter feed forward approach. Parallel analysis refers to applying a non-linear fitting method to multiple data sets in parallel or simultaneously, where at least one common parameter is coupled during fitting.
Multiple tool and structure analysis refers to feed-forward, side-fed, or parallel analysis based on regression, lookup tables (i.e., "library" matching), or another fitting procedure of multiple data sets. Exemplary methods and systems for multiple tool and structure analysis are described in U.S. patent No. 7,478,019, issued to scientific inc, on 13.1.2009, which is incorporated herein by reference in its entirety.
In another further aspect, an initial estimate of the value of the one or more parameters of interest is determined based on an RSAXS measurement performed at a single orientation of the incident x-ray beam relative to the measurement target. The initial estimated values are implemented as starting values for the parameters of interest for regression of the measurement model with measurement data collected from the RSAXS measurements at multiple orientations. In this way, a close estimate of the parameter of interest is determined with relatively little computational effort, and by implementing this close estimate as a starting point for regression over a much larger data set, an accurate estimate of the parameter of interest is obtained with less overall computational effort.
In a further aspect, the RSAXS measurement data is used to generate an image of the measured structure based on the measured intensities of the detected diffraction orders. In some embodiments, the RSAXS response function model is generalized to describe scattering from a generic electron density grid. Matching this model to the measured signal while constraining the modeled electron density in this grid to enhance continuity and sparse edges may provide a three-dimensional image of the sample.
While geometric model-based parametric inversion is preferred for Critical Dimension (CD) metrology based on RSAXS measurements, maps of samples generated from the same RSAXS measurement data can be used to identify and correct model errors when the measured samples deviate from the assumptions of the geometric model.
In some examples, the image is compared to structural properties estimated by geometric model-based parametric inversion of the same scatterometry measurement data. The differences are used to update the geometric model of the measured structure and improve measurement performance. The ability to converge on an accurate parametric measurement model is particularly important when measuring integrated circuits to control, monitor and troubleshoot their manufacturing processes.
In some examples, the image is a two-dimensional (2-D) map of electron density, absorption, complex refractive index, or a combination of these material properties. In some examples, the image is a three-dimensional (3-D) map of electron density, absorption, complex refractive index, or a combination of these material properties. The atlas is created using relatively few physical constraints. In some examples, one or more parameters of interest (e.g., Critical Dimension (CD), sidewall angle (SWA), overlay, edge placement error, pitch walk, etc.) are directly estimated from the resulting map. In some other examples, the map may be used to debug a wafer process when sample geometry or material deviates from a range of expected values considered by a parametric structure model employed by model-based CD measurements. In one example, differences between the atlas and the representation of the structure predicted by the parametric structure model from its measured parameters are used to update the parametric structure model and improve its measurement performance. Additional details are described in U.S. patent publication No. 2015/0300965, the contents of which are incorporated herein by reference in their entirety. Additional details are described in U.S. patent publication No. 2015/0117610, the contents of which are incorporated herein by reference in their entirety.
In a further aspect, a model build and analysis engine 180 is employed to generate a model for combined x-ray and optical measurement analysis. In some examples, the optical simulation is based on, for example, Rigorous Coupled Wave Analysis (RCWA), in which Maxwell's equations are solved to calculate the optical signal, e.g., reflectivity for different polarizations, ellipsometric parameters, phase changes, etc.
Determining values for one or more parameters of interest based on a combined fit analysis of detected intensities and detected optical intensities of x-ray diffraction orders at a plurality of different angles of incidence utilizing a combined geometric parameterized response model. The optical intensity is measured by an optical metrology tool, which may or may not be mechanically integrated with an x-ray metrology system, such as the system 100 depicted in fig. 2. Additional details are described in U.S. patent publication No. 2014/0019097 and U.S. patent publication No. 2013/0304424, the contents of each of which are incorporated herein by reference in their entirety.
In another aspect, an x-ray based metrology system includes a plurality of detectors to separately detect a zero diffraction order and higher diffraction orders. Fig. 9 depicts an x-ray based metrology system 400 in another embodiment. Like-numbered elements depicted in fig. 9 are similar to those described with reference to fig. 2.
As depicted in fig. 9, wafer metrology subsystem 300 includes detectors 310A and 310B. Detector 310A is located in the collection path of diffraction orders greater than zero. Detector 310B is located in the collection path of the zero diffraction order. In this way, the risk of signal overflow from the zero level contaminating the higher level measurements is minimized. In some other embodiments, three detectors may be employed: one detector is used to detect the zeroth order, another detector is used to collect the positive non-zeroth order, and another detector is used to collect the negative non-zeroth order. In general, any combination of multiple detectors may be considered to detect the zero and higher diffraction orders.
The embodiment described with reference to FIG. 2 includes a vacuum window 202 to filter the X-ray illumination and separate the vacuum environment of plasma chamber 125 from the vacuum environment of wafer metrology chamber 311. The vacuum window 202 must be fabricated from extremely thin layers of material to minimize absorption of the desired X-ray illumination and to maximize absorption of the undesired infrared light from the pulsed laser illumination source (pump excitation source) 114. The thermal load on the vacuum window 202 due to radiation absorption is significant. In addition, the vacuum window 202 must also be mechanically strong and stable to withstand the pressure differential between the plasma chamber 125 and the wafer metrology chamber 311. Mechanical failure of the vacuum window 202 threatens the integrity of both the plasma chamber 125 and the wafer metrology chamber 311. In practice, it can be difficult to achieve a vacuum window that meets the system requirements for filtering, x-ray transmission, and mechanical stability.
In another aspect, an X-ray based metrology system includes a multilayer diffractive optical structure positioned in an illumination path to filter X-ray illumination light. In this way, the need for a vacuum window in the illumination path is eliminated. Fig. 10 depicts an x-ray based metrology system 500 in another embodiment. Like-numbered elements depicted in fig. 10 are similar to those described with reference to fig. 2.
As depicted in fig. 10, an ellipsoidal mirror 501 is coated with a three-dimensional multilayer diffractive optical structure 502. In some embodiments, 3D multilayer structure 502 is a blazed grating. In other embodiments, the 3D multilayer structure 502 is a layered grating. The angular dispersion of different wavelengths from the three-dimensional multilayer diffractive optical structure 502 filters unwanted radiation from the X-ray illumination light, thereby enhancing spectral purity. Light from the pulsed laser illumination source 114 (e.g., IR light) and unwanted wavelengths generated by the plasma 103 (e.g., UV, EUV, or both) are diffracted at different angles than light generated by the plasma 103 (e.g., SXR light). Undesired IR light is directed to the beam trap 504 and desired SRX light propagates to the wafer 306.
To maintain the difference between vacuum plasma chamber 125 and wafer metrology chamber 311, the two chambers are differentially pumped at orifice system 203. In the embodiment depicted in fig. 10, the aperture system 203 is sealed with respect to the harness 200 around the exterior of the aperture. Thus, the only light path between plasma chamber 125 and wafer metrology chamber 311 is through the very small aperture of aperture system 203. Differential pumping is sufficient to maintain separate vacuum levels in plasma chamber 125 and wafer metrology chamber 311.
In another aspect, an x-ray based metrology system includes a zone plate structure located in an illumination path to refocus excitation light back to a laser-generated plasma source. Fig. 11 depicts an x-ray based metrology system 600 in another embodiment. Like-numbered elements depicted in fig. 11 are similar to those described with reference to fig. 2.
As depicted in fig. 11, the zone plate structure 603 is fabricated on an ellipsoidal mirror 601. The three-dimensional multilayer diffractive optical structure 602 is in turn deposited on the zone plate structure 603 and the ellipsoidal mirror 601. In some embodiments, the 3D multilayer structure 602 is a blazed grating. In other embodiments, the 3D multilayer structure 602 is a layered grating. Incident infrared light from the pulsed laser illumination source 114 is scattered by the zone plate structure 603 onto the reflective surface of the ellipsoidal mirror 601, which refocuses the scattered infrared light back to the plasma 103. Additional unwanted wavelengths 605 (e.g., UV, EUV, or both) generated by the plasma 103 are diffracted by the 3D multilayer structure 602 to the beam trap 604 and the desired SRX light propagates to the wafer 306.
To maintain the difference between vacuum plasma chamber 125 and wafer metrology chamber 311, the two chambers are differentially pumped at orifice system 203. In the embodiment depicted in fig. 11, the aperture system 203 is sealed with respect to the harness 200 around the exterior of the aperture. Thus, the only light path between plasma chamber 125 and wafer metrology chamber 311 is through the very small aperture of aperture system 203. Differential pumping is sufficient to maintain separate vacuum levels in plasma chamber 125 and wafer metrology chamber 311.
In a further aspect, the flux of X-ray illumination light produced by a low atomic number low temperature LPP illumination source is monitored and controlled. Fig. 2 depicts a flux sensor 118 located near the entrance of a beamline 200. The measured value of x-ray flux is communicated to the computing system 130. In response, the computing system 130 compares the measured flux to the desired flux and communicates control commands 136 to the pulsed laser illumination source 114 to adjust the output of the pulsed laser illumination source 114 to reduce the difference between the measured flux and the desired flux.
In some embodiments, the wavelength emitted by plasma 103 is selectable. In some embodiments, the pulsed laser illumination source 114 is controlled by the computing system 130 to maximize the flux generated by the plasma 103 in one or more selected spectral regions. The pump laser peak intensity at the target material controls the plasma temperature and thus the spectral region of the emitted radiation. The pump laser peak intensity is varied by adjusting the pulse energy, the pulse width, or both. In one example, a 100 picosecond pulse width is suitable for generation of SXR radiation. As depicted in fig. 2, the computing system 130 communicates a command signal 136 to the pulsed laser illumination source 114 that causes the pulsed laser illumination source 114 to adjust the spectral range of wavelengths emitted from the plasma 103.
It should be recognized that the various steps described throughout this disclosure may be performed by a single computer system 130 or, alternatively, multiple computer systems 130. Additionally, different subsystems of system 100 (e.g., sample positioning system 320) may include a computer system adapted to perform at least a portion of the steps described herein. Accordingly, the foregoing description should not be construed as limiting, but merely as illustrative of the present invention. Additionally, one or more computing systems 130 may be configured to perform any (any) other step(s) of any of the method embodiments described herein.
In addition, computer system 130 may be communicatively coupled to pulsed laser illumination source 114, aperture system 203, sample positioning system 320, and detector 310 in any manner known in the art. For example, the one or more computing systems 130 may be coupled to computing systems associated with the pulsed laser illumination source 114, the aperture system 203, the sample positioning system 320, and the detector 310, respectively. In another example, any of pulsed laser illumination source 114, aperture system 203, sample positioning system 320, and detector 310 may be controlled directly by a single computer system coupled to computer system 130.
Computer system 130 may be configured to receive and/or acquire data or information from subsystems of the system (e.g., pulsed laser illumination source 114, aperture system 203, sample positioning system 320, and detector 310, etc.) through a transmission medium that may include wired and/or wireless portions. In this manner, the transmission medium may serve as a data link between computer system 130 and other subsystems of system 100.
The computer system 130 of the metrology system 100 can be configured to receive and/or acquire data or information (e.g., measurements, modeling inputs, modeling results, etc.) from other systems over a transmission medium that can include wired and/or wireless portions. In this manner, the transmission medium may serve as a data link between the computer system 130 and other systems (e.g., memory on-board metrology system 100, external memory, or external systems). For example, computing system 130 may be configured to receive measurement data (e.g., signal 135) from a storage medium (i.e., memory 132 or 190) via a data link. For example, the intensity results obtained using detector 310 may be stored in a permanent or semi-permanent memory device (e.g., memory 132 or 190). In this regard, the measurement results may be imported from on-board memory or from an external memory system. In addition, computer system 130 may send data to other systems via a transmission medium. For example, the sample parameter values 186 determined by the computer system 130 may be stored in a permanent or semi-permanent memory device (e.g., memory 190). In this regard, the measurement results may be communicated to another system.
Computing system 130 may include, but is not limited to, a personal computer system, a mainframe computer system, a workstation, a graphics computer, a parallel processor, a cloud-based computing system, or any other device known in the art. In general, the term "computing system" may be broadly defined to encompass any device having one or more processors that execute instructions from a memory medium.
Program instructions 134 embodying methods such as those described herein may be transmitted over a transmission medium such as a wire, cable, or wireless transmission link. For example, as illustrated in FIG. 2, program instructions stored in memory 132 are transmitted to processor 131 over bus 133. The program instructions 134 are stored in a computer-readable medium, such as the memory 132. Exemplary computer readable media include read-only memory, random-access memory, magnetic or optical disks, or tape.
FIG. 12 illustrates a method 700 suitable for implementation by the metrology systems 100, 400, 500 and 600 of the present disclosure. In one aspect, it is recognized that the data processing blocks of method 700 may be performed via pre-programmed algorithms executed by one or more processors of computing system 130. While the following description is presented in the context of metrology systems 100, 400, 500, and 600, it is recognized herein that the particular structural aspects of metrology systems 100, 400, 500, and 600 are not meant to be limiting and should be construed as illustrative only.
In block 701, a cryogenically cooled barrel is rotated and translated within a plasma chamber. The cryogenically cooled barrel has a surface coated with an amount of a low atomic number target material at a predetermined thickness. The low atomic number target material includes one or more elements each having an atomic number less than 19. The plasma chamber has at least one wall that is partially operable to enclose a flow of buffer gas within the plasma chamber.
In block 702, a pulse of excitation light is generated and directed to a low atomic number target material at a location on a surface of a cryogenically cooled barrel. The interaction of the excitation light pulses with the low atomic number target material causes the low atomic number target material to ionize to form a plasma that emits illumination light. The illumination light includes one or more spectral line emissions in a spectral region from 10 electron volts to 5,000 electron volts.
In block 703, a quantity of light from the sample is detected in response to the illumination light.
In block 704, a value for at least one parameter of interest of the measured sample is determined based on the detected light amount.
In some embodiments, scatterometry measurements as described herein are implemented as part of fabricating a process tool. Examples of fabrication process tools include, but are not limited to, photolithography exposure tools, thin film deposition tools, implantation tools, and etching tools. In this way, the results of the RSAXS analysis are used to control the fabrication process. In one example, RSAXS measurement data collected from one or more targets is sent to a fabrication process tool. The RSAXS measurement data is analyzed as described herein and the results are used to adjust the operation of the fabrication process tool to reduce errors in the fabrication of semiconductor structures.
Scatterometry measurements as described herein may be used to determine characteristics of a variety of semiconductor structures. Exemplary structures include, but are not limited to, finfets, low-size structures (e.g., nanowires or graphene), structures smaller than 10nm, photolithographic structures, through-substrate vias (TSVs), memory structures (e.g., DRAM 4F2, flash, MRAM), and high aspect ratio memory structures. Exemplary structural characteristics include, but are not limited to, geometric parameters (e.g., line edge roughness, line width roughness, hole size, hole density, sidewall angle, profile, critical dimension, pitch, thickness, overlay) and material parameters (e.g., electron density, composition, grain structure, morphology, stress, strain, and elemental identification). In some embodiments, the metrology target is a periodic structure. In some other embodiments, the metrology target is aperiodic.
In some examples, measurements of critical dimensions, thickness, overlay, and material properties of high aspect ratio semiconductor structures, including but not limited to spin torque random access memory (STT-RAM), three-dimensional NAND memory (3D-NAND), or vertical NAND memory (V-NAND), Dynamic Random Access Memory (DRAM), three-dimensional flash memory (3D flash), resistive random access memory (Re-RAM), and phase change random access memory (PC-RAM), are performed utilizing an RSAXS measurement system as described herein.
As described herein, the term "critical dimension" includes any critical dimension of a structure (e.g., bottom critical dimension, middle critical dimension, top critical dimension, sidewall angle, grating height, etc.), a critical dimension between any two or more structures (e.g., distance between two structures), and a displacement between two or more structures (e.g., overlay displacement between overlay grating structures, etc.). The structure may include a three-dimensional structure, a patterned structure, an overlay structure, and the like.
As described herein, the term "critical dimension application" or "critical dimension measurement application" includes any critical dimension measurement.
As described herein, the term "metrology system" includes any system used, at least in part, to characterize a sample in any aspect, including critical dimension applications and overlay metrology applications. However, these technical terms do not limit the scope of the term "metrology system" as described herein. Additionally, the metrology systems described herein may be configured for measuring patterned wafers and/or unpatterned wafers. The metrology system may be configured as an LED inspection tool, edge inspection tool, backside inspection tool, macro inspection tool, or multi-mode inspection tool (involving data from one or more platforms simultaneously), as well as any other metrology or inspection tool that benefits from the measurement techniques described herein.
Various embodiments of a semiconductor processing system (e.g., an inspection system or a lithography system) that can be used to process a sample are described herein. The term "specimen" is used herein to refer to a wafer, reticle, or any other specimen that can be processed (e.g., printed or inspected for defects) by means known in the art.
As used herein, the term "wafer" generally refers to a substrate formed of a semiconductor or non-semiconductor material. Examples include, but are not limited to, monocrystalline silicon, gallium arsenide, and indium phosphide. Such substrates may typically be found and/or processed in a semiconductor fabrication facility. In some cases, the wafer may include only the substrate (i.e., a bare wafer). Alternatively, the wafer may include one or more layers of different materials formed on the substrate. One or more layers formed on the wafer may be "patterned" or "unpatterned". For example, a wafer may include a plurality of dies having repeatable pattern features.
Light shield "May be a mask at any stage of the mask fabrication process or may not be released for use in a semiconductor fabrication facility. A reticle or "mask" is generally defined as a substantially transparent substrate having opaque regions formed substantially thereon and configured in a pattern. The substrate may comprise, for example, amorphous SiO2Etc. glass materials. A reticle may be deposited over a resist-covered wafer during an exposure step of a photolithography process so that the pattern on the reticle may be transferred to the resist.
One or more layers formed on the wafer may be patterned or unpatterned. For example, a wafer may include a plurality of dies each having repeatable pattern features. The formation and processing of such material layers may ultimately result in a completed device. Many different types of devices can be formed on a wafer, and the term wafer as used herein is intended to encompass wafers on which any type of device known in the art is fabricated.
In one or more exemplary embodiments, the functions described may be implemented in hardware, software, firmware, or any combination thereof. If implemented in software, the functions may be stored on or transmitted over as one or more instructions or code on a computer-readable medium. Computer-readable media includes both computer storage media and communication media including any medium that facilitates transfer of a computer program from one place to another. A storage media may be any available media that can be accessed by a general purpose or special purpose computer. By way of example, and not limitation, such computer-readable media may comprise: RAM, ROM, EEPROM, CD-ROM or other optical disk storage, magnetic disk storage or other magnetic storage devices, or any other medium that can be used to carry or store desired program code means in the form of instructions or data structures and that can be accessed by a general-purpose or special-purpose computer, or a general-purpose or special-purpose processor. Also, any connection is properly termed a computer-readable medium. For example, if the software is transmitted from a website, server, or other remote source using a coaxial cable, fiber optic cable, twisted pair, Digital Subscriber Line (DSL), or wireless technologies such as infrared, radio, and microwave, then the coaxial cable, fiber optic cable, twisted pair, DSL, or wireless technologies such as infrared, radio, and microwave are included in the definition of medium. Disk and disc, as used herein, includes Compact Disc (CD), laser disc, XRF disc, Digital Versatile Disc (DVD), floppy disk and blu-ray disc where disks usually reproduce data magnetically, while discs reproduce data optically with lasers. Combinations of the above should also be included within the scope of computer-readable media. Although some specific embodiments are described above for instructional purposes, the teachings of this patent document have general applicability and are not limited to the specific embodiments described above. Accordingly, various modifications, adaptations, and combinations of the various features of the described embodiments can be practiced without departing from the scope of the invention as set forth in the claims.

Claims (23)

1. A laser-generated plasma light source, comprising:
a plasma chamber having at least one wall that is partially operable to enclose a flow of buffer gas within the plasma chamber;
a cryogenically cooled barrel located in the plasma chamber, the cryogenically cooled barrel configured to rotate about an axis and translate along the axis;
a low atomic number target material deposited on a surface of the cryogenically cooled barrel, wherein the low atomic number target material comprises one or more elements having an atomic number less than 19; and
a pulsed laser that generates an excitation light pulse that is directed to the low atomic number target material at a location on the surface of the rotating cryogenically cooled barrel, wherein interaction of the excitation light pulse with the low atomic number target material causes ionization of the low atomic number target material to form a plasma that emits illumination light, wherein the illumination light includes one or more spectral line emissions in a spectral region from 10 electron volts to 5,000 electron volts, wherein the illumination light is usable to illuminate a measured sample.
2. The laser-generated plasma light source of claim 1, further comprising:
one or more rotary actuators configured to rotate the cryogenically cooled barrel about the axis; and
one or more linear actuators configured to translate the cryogenically cooled barrel along the axis.
3. The laser-generated plasma light source of claim 1, further comprising:
a nozzle mechanically coupled to the plasma chamber, the nozzle having an outlet aperture located a distance away from the surface of the cryogenically cooled bucket, wherein a low atomic number target material stream exits the outlet aperture of the nozzle and is deposited onto the surface of the cryogenically cooled bucket as the cryogenically cooled bucket rotates and translates; and
a wiper mechanism coupled to the plasma chamber at a fixed distance from the surface of the cryogenically cooled bucket, wherein as the cryogenically cooled bucket rotates and translates, the wiper mechanism wipes the low atomic number target material cryogenically frozen to the surface of the cryogenically cooled bucket to a predetermined thickness.
4. The laser-generated plasma light source of claim 3 wherein the flow of the low atomic number target material exits the outlet aperture of the nozzle in a gas phase or a liquid phase.
5. A laser generated plasma light source as claimed in claim 3, wherein the predetermined thickness is in a range between 200 microns and 1 mm.
6. The laser-generated plasma light source of claim 1 wherein the low atomic number target material comprises a first low atomic number target material comprising one or more elements each having an atomic number less than 19 dissolved in a solvent comprising elements each having an atomic number less than 19.
7. The laser-generated plasma light source of claim 1, further comprising:
one or more gas manifolds disposed within the plasma chamber, wherein the one or more gas manifolds disperse a flow of buffer gas into the plasma chamber; and
a vacuum pump coupled to the plasma chamber, wherein the vacuum pump draws the flow of buffer gas from the plasma chamber along with debris generated by the plasma entrained in the flow of buffer gas.
8. The laser-generated plasma light source of claim 7, wherein the buffer gas is nitrogen, hydrogen, oxygen, argon, neon, or any combination thereof.
9. The laser-generated plasma light source of claim 1, wherein a distance between a window of the plasma chamber and the plasma is at least 10 centimeters.
10. The laser-generated plasma light source of claim 1, wherein the brightness of the plasma is greater than 1013Photon/(sec) · (mm)2)·(mrad2) 1% (1% bandwidth).
11. The laser-generated plasma light source of claim 1, wherein the spot size of the plasma is less than 100 microns.
12. A metrology system, comprising:
a laser-generated plasma light source, comprising:
a plasma chamber having at least one wall operable in part to enclose a flow of a buffer gas within the plasma chamber;
a cryogenically cooled barrel located in the plasma chamber, the cryogenically cooled barrel configured to rotate about an axis and translate along the axis;
a low atomic number target material deposited on a surface of the cryogenically cooled barrel, wherein the low atomic number target material comprises one or more elements having an atomic number less than 19;
a pulsed laser that generates an excitation light pulse that is directed to the low atomic number target material at a location on the surface of the rotating cryogenically cooled barrel, wherein interaction of the excitation light pulse with the low atomic number target material causes ionization of the low atomic number target material to form a plasma that emits illumination light, wherein the illumination light includes one or more spectral line emissions in a spectral region from 10 electron volts to 5,000 electron volts, wherein the illumination light is usable to illuminate a measured sample;
one or more optical elements located in an illumination path between the plasma and the measured sample;
one or more x-ray detectors that detect an amount of light from the sample in response to the illumination light incident on the sample; and
a computing system configured to determine a value of a parameter of interest characterizing the measured sample based on the detected amount of light.
13. The metrology system of claim 12, wherein the metrology system is configured as a reflection small angle x-ray scatterometry system.
14. The metrology system of claim 12, the one or more optical elements located in the illumination path including an ellipsoidal mirror that focuses the illumination light incident to the sample.
15. The metrology system of claim 14, the ellipsoidal mirror comprising a multilayer diffractive optical structure fabricated on the ellipsoidal mirror, wherein the multilayer diffractive optical structure diffracts a first portion of the illumination light incident on the ellipsoidal mirror toward a beam trap and a second portion of the illumination light incident on the ellipsoidal mirror toward the measured sample.
16. The metrology system of claim 14, the ellipsoidal mirror comprising a zone plate structure fabricated on the ellipsoidal mirror, and a multilayer diffractive optical structure fabricated on the ellipsoidal mirror above the zone plate structure, wherein the zone plate structure scatters a first portion of the illumination light incident on the ellipsoidal mirror back to the plasma, wherein the multilayer diffractive optical structure diffracts a second portion of the illumination light incident on the ellipsoidal mirror toward a beam trap and a third portion of the illumination light incident on the ellipsoidal mirror toward the measured sample.
17. The metrology system of claim 12, the laser-generated plasma light source further comprising:
a nozzle mechanically coupled to the plasma chamber, the nozzle having an outlet aperture located a distance away from the surface of the cryogenically cooled bucket, wherein a low atomic number target material stream exits the outlet aperture of the nozzle and is deposited onto the surface of the cryogenically cooled bucket as the cryogenically cooled bucket rotates and translates; and
a wiper mechanism coupled to the plasma chamber at a fixed distance from the surface of the cryogenically cooled bucket, wherein as the cryogenically cooled bucket rotates and translates, the wiper mechanism wipes the low atomic number target material cryogenically frozen to the surface of the cryogenically cooled bucket to a predetermined thickness.
18. The metrology system of claim 17, wherein the flow of the low atomic number target material exits the outlet orifice of the nozzle in a gas phase or a liquid phase.
19. The metrology system of claim 17, wherein the predetermined thickness is in a range between 200 microns and 1 millimeter.
20. A method, comprising:
rotating and translating a cryogenically cooled barrel within a plasma chamber, the cryogenically cooled barrel having a surface coated with an amount of a low atomic number target material at a predetermined thickness, the low atomic number target material comprising one or more elements each having an atomic number less than 19, the plasma chamber having at least one wall operable in part to enclose a flow of buffer gas within the plasma chamber;
generating an excitation light pulse directed to the low atomic number target material at a location on the surface of the cryogenically cooled barrel, wherein interaction of the excitation light pulse with the low atomic number target material causes ionization of the low atomic number target material to form a plasma that emits illumination light, wherein the illumination light includes one or more spectral line emissions in a spectral region from 10 electron volts to 5,000 electron volts;
detecting an amount of light from a sample in response to the illumination light; and
determining a value of at least one parameter of interest of the measured sample based on the detected amount of light.
21. The method of claim 20, further comprising:
depositing a stream of the low atomic number target material onto the surface of the cryogenically cooled barrel as the cryogenically cooled barrel rotates and translates; and
scraping the low atomic number target material cryogenically frozen to the surface of the cryogenically cooled barrel to the predetermined thickness as the cryogenically cooled barrel rotates and translates.
22. The method of claim 21 wherein the flow of the low atomic number target material is in a gas phase or a liquid phase.
23. The method of claim 20, wherein the predetermined thickness is in a range between 200 microns and 1 millimeter.
CN202080075446.4A 2019-11-01 2020-10-27 Laser-generated plasma illuminator with low atomic number low temperature target Pending CN114641685A (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201962929552P 2019-11-01 2019-11-01
US62/929,552 2019-11-01
US17/076,774 US11272607B2 (en) 2019-11-01 2020-10-21 Laser produced plasma illuminator with low atomic number cryogenic target
US17/076,774 2020-10-21
PCT/US2020/057457 WO2021086813A1 (en) 2019-11-01 2020-10-27 Laser produced plasma illuminator with low atomic number cryogenic target

Publications (1)

Publication Number Publication Date
CN114641685A true CN114641685A (en) 2022-06-17

Family

ID=75688504

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202080075446.4A Pending CN114641685A (en) 2019-11-01 2020-10-27 Laser-generated plasma illuminator with low atomic number low temperature target

Country Status (5)

Country Link
US (1) US11272607B2 (en)
KR (1) KR20220093151A (en)
CN (1) CN114641685A (en)
TW (1) TWI826740B (en)
WO (1) WO2021086813A1 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA3205696A1 (en) * 2021-02-23 2022-09-01 Christopher Carl JUNG Method for determining a characteristic of an optical pathway corresponding to a fluid pathway of a medical device
DE102021004714A1 (en) 2021-08-23 2023-02-23 Hochschule Mittweida (FH), Körperschaft des öffentlichen Rechts Device for influencing the X-ray emission during laser material processing of a workpiece using a laser

Family Cites Families (80)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US6023338A (en) 1996-07-12 2000-02-08 Bareket; Noah Overlay alignment measurement of wafers
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
US6831963B2 (en) 2000-10-20 2004-12-14 University Of Central Florida EUV, XUV, and X-Ray wavelength sources created from laser plasma produced from liquid metal solutions
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US6320937B1 (en) 2000-04-24 2001-11-20 Takayasu Mochizuki Method and apparatus for continuously generating laser plasma X-rays by the use of a cryogenic target
US6787773B1 (en) 2000-06-07 2004-09-07 Kla-Tencor Corporation Film thickness measurement using electron-beam induced x-ray microanalysis
US7068833B1 (en) 2000-08-30 2006-06-27 Kla-Tencor Corporation Overlay marks, methods of overlay mark design and methods of overlay measurements
US7541201B2 (en) 2000-08-30 2009-06-02 Kla-Tencor Technologies Corporation Apparatus and methods for determining overlay of structures having rotational or mirror symmetry
US7317531B2 (en) 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
US20030002043A1 (en) 2001-04-10 2003-01-02 Kla-Tencor Corporation Periodic patterns and technique to control misalignment
US7928416B2 (en) * 2006-12-22 2011-04-19 Cymer, Inc. Laser produced plasma EUV light source
US6716646B1 (en) 2001-07-16 2004-04-06 Advanced Micro Devices, Inc. Method and apparatus for performing overlay measurements using scatterometry
JP3728495B2 (en) 2001-10-05 2005-12-21 独立行政法人産業技術総合研究所 Multilayer mask defect inspection method and apparatus
WO2003054475A2 (en) 2001-12-19 2003-07-03 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6778275B2 (en) 2002-02-20 2004-08-17 Micron Technology, Inc. Aberration mark and method for estimating overlay error and optical aberrations
DE10242622A1 (en) 2002-09-13 2004-04-01 MAX-PLANCK-Gesellschaft zur Förderung der Wissenschaften e.V. Liquid trap for collecting liquids in a vacuum device
US6992764B1 (en) 2002-09-30 2006-01-31 Nanometrics Incorporated Measuring an alignment target with a single polarization state
DE10326279A1 (en) 2003-06-11 2005-01-05 MAX-PLANCK-Gesellschaft zur Förderung der Wissenschaften e.V. Plasma-based generation of X-radiation with a layered target material
DE10342239B4 (en) 2003-09-11 2018-06-07 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Method and apparatus for generating extreme ultraviolet or soft x-ray radiation
US7842933B2 (en) 2003-10-22 2010-11-30 Applied Materials Israel, Ltd. System and method for measuring overlay errors
US6937337B2 (en) 2003-11-19 2005-08-30 International Business Machines Corporation Overlay target and measurement method using reference and sub-grids
US7321426B1 (en) 2004-06-02 2008-01-22 Kla-Tencor Technologies Corporation Optical metrology on patterned samples
US7609309B2 (en) 2004-11-18 2009-10-27 Kla-Tencor Technologies Corporation Continuous clocking of TDI sensors
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US7351980B2 (en) 2005-03-31 2008-04-01 Kla-Tencor Technologies Corp. All-reflective optical systems for broadband wafer inspection
JP4585926B2 (en) 2005-06-17 2010-11-24 株式会社日立ハイテクノロジーズ PATTERN LAYER DATA GENERATION DEVICE, PATTERN LAYER DATA GENERATION SYSTEM USING THE SAME, SEMICONDUCTOR PATTERN DISPLAY DEVICE, PATTERN LAYER DATA GENERATION METHOD, AND COMPUTER PROGRAM
DE102005032983B4 (en) 2005-07-14 2007-05-31 MAX-PLANCK-Gesellschaft zur Förderung der Wissenschaften e.V. nozzle assembly
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
JP4887062B2 (en) 2006-03-14 2012-02-29 株式会社日立ハイテクノロジーズ Sample size measuring method and sample size measuring device
US7435982B2 (en) 2006-03-31 2008-10-14 Energetiq Technology, Inc. Laser-driven light source
DE102006017904B4 (en) 2006-04-13 2008-07-03 Xtreme Technologies Gmbh Arrangement for generating extreme ultraviolet radiation from an energy beam generated plasma with high conversion efficiency and minimal contamination
US7705331B1 (en) 2006-06-29 2010-04-27 Kla-Tencor Technologies Corp. Methods and systems for providing illumination of a specimen for a process performed on the specimen
US7406153B2 (en) 2006-08-15 2008-07-29 Jordan Valley Semiconductors Ltd. Control of X-ray beam spot size
US7920676B2 (en) 2007-05-04 2011-04-05 Xradia, Inc. CD-GISAXS system and method
US7873585B2 (en) 2007-08-31 2011-01-18 Kla-Tencor Technologies Corporation Apparatus and methods for predicting a semiconductor parameter across an area of a wafer
US7655925B2 (en) 2007-08-31 2010-02-02 Cymer, Inc. Gas management system for a laser-produced-plasma EUV light source
DE102008049589A1 (en) 2008-09-30 2010-04-08 Carl Zeiss Smt Ag Optical imaging device, particularly for microscopy, comprises two optical element groups that display object point of object plane on image plane, where one optical element group comprises optical element with reflective optical surface
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
JP5580032B2 (en) 2008-12-26 2014-08-27 ギガフォトン株式会社 Extreme ultraviolet light source device
US8624971B2 (en) 2009-01-23 2014-01-07 Kla-Tencor Corporation TDI sensor modules with localized driving and signal processing circuitry for high speed inspection
US8068662B2 (en) 2009-03-30 2011-11-29 Hermes Microvision, Inc. Method and system for determining a defect during charged particle beam inspection of a sample
US8000212B2 (en) 2009-12-15 2011-08-16 Cymer, Inc. Metrology for extreme ultraviolet light source
US8368039B2 (en) 2010-04-05 2013-02-05 Cymer, Inc. EUV light source glint reduction system
JP5764380B2 (en) 2010-04-29 2015-08-19 エフ イー アイ カンパニFei Company SEM imaging method
US8258485B2 (en) 2010-08-30 2012-09-04 Media Lario Srl Source-collector module with GIC mirror and xenon liquid EUV LPP target system
US9046475B2 (en) 2011-05-19 2015-06-02 Applied Materials Israel, Ltd. High electron energy based overlay error measurement methods and systems
US10107621B2 (en) 2012-02-15 2018-10-23 Nanometrics Incorporated Image based overlay measurement with finite gratings
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
US8749179B2 (en) 2012-08-14 2014-06-10 Kla-Tencor Corporation Optical characterization systems employing compact synchrotron radiation sources
WO2014062972A1 (en) 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
EP2951643B1 (en) 2013-01-30 2019-12-25 Kla-Tencor Corporation Euv light source using cryogenic droplet targets in mask inspection
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
WO2014127151A1 (en) 2013-02-14 2014-08-21 Kla-Tencor Corporation System and method for producing an exclusionary buffer gas flow in an euv light source
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9989758B2 (en) 2013-04-10 2018-06-05 Kla-Tencor Corporation Debris protection system for reflective optic utilizing gas flow
US9915522B1 (en) 2013-06-03 2018-03-13 Kla-Tencor Corporation Optimized spatial modeling for optical CD metrology
US9422978B2 (en) 2013-06-22 2016-08-23 Kla-Tencor Corporation Gas bearing assembly for an EUV light source
US8963110B2 (en) 2013-06-22 2015-02-24 Kla-Tencor Corporation Continuous generation of extreme ultraviolet light
US9544984B2 (en) 2013-07-22 2017-01-10 Kla-Tencor Corporation System and method for generation of extreme ultraviolet light
US9449781B2 (en) 2013-12-05 2016-09-20 Sigray, Inc. X-ray illuminators with high flux and high flux density
US9846132B2 (en) 2013-10-21 2017-12-19 Kla-Tencor Corporation Small-angle scattering X-ray metrology systems and methods
US9885962B2 (en) 2013-10-28 2018-02-06 Kla-Tencor Corporation Methods and apparatus for measuring semiconductor device overlay using X-ray metrology
US9588066B2 (en) 2014-01-23 2017-03-07 Revera, Incorporated Methods and systems for measuring periodic structures using multi-angle X-ray reflectance scatterometry (XRS)
US9494535B2 (en) 2014-04-21 2016-11-15 Kla-Tencor Corporation Scatterometry-based imaging and critical dimension metrology
DE102014006063A1 (en) 2014-04-25 2015-10-29 Microliquids GmbH Beam generating device and method for generating a liquid jet
US10101664B2 (en) 2014-11-01 2018-10-16 Kla-Tencor Corporation Apparatus and methods for optics protection from debris in plasma-based light source
US10034362B2 (en) 2014-12-16 2018-07-24 Kla-Tencor Corporation Plasma-based light source
US10324050B2 (en) 2015-01-14 2019-06-18 Kla-Tencor Corporation Measurement system optimization for X-ray based metrology
US10880979B2 (en) 2015-11-10 2020-12-29 Kla Corporation Droplet generation for a laser produced plasma light source
US9918375B2 (en) 2015-11-16 2018-03-13 Kla-Tencor Corporation Plasma based light source having a target material coated on a cylindrically-symmetric element
US10021773B2 (en) 2015-11-16 2018-07-10 Kla-Tencor Corporation Laser produced plasma light source having a target material coated on a cylindrically-symmetric element
US10352695B2 (en) 2015-12-11 2019-07-16 Kla-Tencor Corporation X-ray scatterometry metrology for high aspect ratio structures
US10775323B2 (en) 2016-10-18 2020-09-15 Kla-Tencor Corporation Full beam metrology for X-ray scatterometry systems
US11333621B2 (en) 2017-07-11 2022-05-17 Kla-Tencor Corporation Methods and systems for semiconductor metrology based on polychromatic soft X-Ray diffraction
US11317500B2 (en) 2017-08-30 2022-04-26 Kla-Tencor Corporation Bright and clean x-ray source for x-ray based metrology
US10959318B2 (en) 2018-01-10 2021-03-23 Kla-Tencor Corporation X-ray metrology system with broadband laser produced plasma illuminator

Also Published As

Publication number Publication date
US20210136902A1 (en) 2021-05-06
WO2021086813A1 (en) 2021-05-06
KR20220093151A (en) 2022-07-05
TWI826740B (en) 2023-12-21
TW202134689A (en) 2021-09-16
US11272607B2 (en) 2022-03-08

Similar Documents

Publication Publication Date Title
US10959318B2 (en) X-ray metrology system with broadband laser produced plasma illuminator
US11333621B2 (en) Methods and systems for semiconductor metrology based on polychromatic soft X-Ray diffraction
US11317500B2 (en) Bright and clean x-ray source for x-ray based metrology
US11460418B2 (en) Methods and systems for semiconductor metrology based on wavelength resolved soft X-ray reflectometry
TWI529386B (en) A method for determining the performance of a photolithographic mask
US11272607B2 (en) Laser produced plasma illuminator with low atomic number cryogenic target
US9693439B1 (en) High brightness liquid droplet X-ray source for semiconductor metrology
US11259394B2 (en) Laser produced plasma illuminator with liquid sheet jet target
TWI833979B (en) Metrology system and method

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination