CN114449131A - 一种基于zynq加速的运动目标检测系统 - Google Patents

一种基于zynq加速的运动目标检测系统 Download PDF

Info

Publication number
CN114449131A
CN114449131A CN202111434261.0A CN202111434261A CN114449131A CN 114449131 A CN114449131 A CN 114449131A CN 202111434261 A CN202111434261 A CN 202111434261A CN 114449131 A CN114449131 A CN 114449131A
Authority
CN
China
Prior art keywords
module
data
image
frame
display
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202111434261.0A
Other languages
English (en)
Inventor
王乐群
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to CN202111434261.0A priority Critical patent/CN114449131A/zh
Publication of CN114449131A publication Critical patent/CN114449131A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N5/00Details of television systems
    • H04N5/14Picture signal circuitry for video frequency region
    • H04N5/144Movement detection
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N5/00Details of television systems
    • H04N5/222Studio circuitry; Studio devices; Studio equipment
    • H04N5/262Studio circuits, e.g. for mixing, switching-over, change of character of image, other special effects ; Cameras specially adapted for the electronic generation of special effects
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N7/00Television systems
    • H04N7/01Conversion of standards, e.g. involving analogue television standards or digital television standards processed at pixel level

Landscapes

  • Engineering & Computer Science (AREA)
  • Multimedia (AREA)
  • Signal Processing (AREA)
  • Image Processing (AREA)
  • Image Analysis (AREA)

Abstract

本发明属于ZYNQ运动目标检测技术领域,具体涉及一种基于ZYNQ加速的运动目标检测系统,包括图像采集模块、图像缓存模块、图像处理模块、图像显示模块,所述图像采集模块分别连接有图像缓存模块和图像处理模块,所述图像处理模块分别与图像缓存模块、图像显示模块连接,所述图像缓存模块连接有图像显示模块。本发明不需要依靠传统的计算机平台,在系统体积比上具有显著优势,方便部署。本发明和FPGA相比,可以直接直接调用DDR3等片外资源。和传统平台相比,在图像数据的读写存储中使用乒乓存储加速,仅需要几个时钟周期,可并行处理;相比之下,传统的图像必须顺序处理完当前帧才可以进行下一帧的处理,处理速度具有巨大优势。

Description

一种基于ZYNQ加速的运动目标检测系统
技术领域
本发明属于ZYNQ运动目标检测技术领域,具体涉及一种基于ZYNQ加速的运动目标检测系统。
背景技术
计算机视觉和图像处理算法在许多工业、医疗、商业和研究相关领域有着广泛应用。而运动目标检测是计算机视觉的重要组成部分。现代成像系统以高帧率提供高分辨率图像,并且通常需要执行复杂的计算来处理图像数据。然而,在许多应用中需要对数据进行快速处理,或者需要尽量减少分析结果的延迟。在这些应用中,中央处理单元(CPU)不能很好的完成相应的任务,因为它们无法以足够的速度执行计算。
发明内容
针对上述的技术问题,本发明提供了一种体积小、处理速度快、应用范围广的基于ZYNQ加速的运动目标检测系统。
为了解决上述技术问题,本发明采用的技术方案为:
一种基于ZYNQ加速的运动目标检测系统,包括图像采集模块、图像缓存模块、图像处理模块、图像显示模块,所述图像采集模块分别连接有图像缓存模块和图像处理模块,所述图像处理模块分别与图像缓存模块、图像显示模块连接,所述图像缓存模块连接有图像显示模块。
所述图像采集模块包括SCCB控制模块、摄像头、第一数据转换模块,所述 SCCB控制模块连接有摄像头,所述SCCB控制模块控制摄像头,对环境中的运动目标完成实时采集,所述摄像头连接有第一数据转换模块,所述第一数据转换模块分别连接有图像缓存模块和图像处理模块,所述第一数据转换模块将采集后的RGB565图像转换为AXI4_Stream数据流。
所述图像缓存模块包括DDR3缓存模块、AXI总线、VDMA0和VDMA1,所述DDR3缓存模块通过AXI总线分别与VDMA0和VDMA1连接,所述VDMA0 连接有图像采集模块的第一数据转换模块、图像处理模块,所述VDMA1连接有图像显示模块,所述VDMA0和VDMA1用于将AX I4_Stream格式的数据流转换为Memory Map格式或将Memory Map格式的数据转换为AXI4_Stream数据流。
所述图像显示模块包括第二数据转换模块、显示模块、HDMI显示,所述第二数据转换模块通过显示模块连接有HDMI显示,所述第二数据转换模块连接有 VDMA1,所述第二数据转换模块将AXIS数据流转换成HDMI视频协议显示所需要的包含其行场同步信号。
所述图像处理模块包括格式转换模块、图像滤波模块、帧差运算模块、二值化模块、目标显示模块,所述格式转换模块通过图像滤波模块连接有帧差运算模块,所述帧差运算模块通过二值化模块连接有目标显示模块。
所述摄像头采用OV5640摄像头。
一种基于ZYNQ加速的运动目标检测系统的检测方法,所述图像处理模块的处理方法为:包括下列步骤:
S1、图像格式转换:将摄像头输入的彩色视频流分为两个,一个用于转灰度,进行后续的图像处理;另一个则用于在图像处理完后进行显示跟踪;
将转换为AXI4_Stream数据流的RGB565数据再转换为RGB888数据,将高位不变,低位补充,即{R[4:0],R[2:0]},{G[5:0],G[2:0]},{B[4:0],B[2:0]}
Y=0.299R+0.587G+0.114B
Cb=0.568(B-Y)+128=-0.172R-0.339G+0.511B+128
Cr=0.713(R-Y)+128=0.511R-0.428G+128
在转换矩阵*256倍后,只需要将Y、Cb、Cr向右移位8位即可还原,得到如下公式:
Y=((77*R+150*G+29*B)>>8)
Cb=((-43*R-85*G+128*B)>>8)+128
Cr=((128*R-107*G-21*B)>>8+128
由于Verilog运算中出现负数会引起错误,并占用较高的资源,因此对上述公式进行变换,得到如下公式:
Y=(77*R+150*G+29*B)>>8
Cb=(-43*R-85*G+128*B+32768)>>8
Cr=(128*R-107*G-21*B+32768)>>8
所述R、G、B分别表示以红、绿、蓝为三原色的颜色空间模型,所述Y、 Cb、Cr是欧洲电视系统所采用的一种颜色编码方法,所述Y表示明亮度,即灰阶值,所述Cb和Cr表示色度,用于描述影像的饱和度和色调;所述R、G、B 与Y、Cb、Cr的转换为色彩空间的转换,即将R、G、B的三原色色彩空间转换为Y、Cb、Cr所表示的亮度与色度的色彩空间模型;
S2、中值滤波:使用Verilog实现中值滤波算法时,使用流水线操作的方式对3x3的滤波模块进行快速排序;
为了获得3x3的滤波模板,先对前两行的数据进行寄存,因此引入一个 RAM,当第三行数据到达时将其读出,这时会得到一个3x1的矩阵,接下来连续寄存三次这个3x1的矩阵,获得所需要的3x3模板,然后通过Verilog实现中值滤波;
S3、帧差法及二值化:将摄像头输入的一帧图像数据当做当前帧,VDMA 会通过乒乓操作来进行帧缓存,即向DDR3缓存模块的地址0写入当前的图像数据时,会同时在地址1读取前一帧缓存的图像数据,做帧差运算时的关键是需要将当前帧与前一帧的每个像素数据一一对齐,因此在等待当前帧有效时, VDMA从DDR3缓存模块读取前一帧数据,缓存进FIFO,同时因为FIFO的读写都需要一个时钟周期,需要对当前帧数据延迟两个时钟周期来对齐图像数据,此时的两帧数据在经过图像处理之后便可进行帧差运算,再对差分结果进行二值化分析,当差分结果绝对值大于所设定的阈值时,结果为1,显示为白色,反之则为黑色,ZYNQ对只有0和1的二值数据处理会非常简单,具有良好的实时性。二值分析完成后,统计所有值为1的像素点,即为检测到的运动目标;
S4、目标显示:在得到二值分析的结果后,用方框框住运动目标所在区域,并将方框叠加到摄像头输出的原始RGB彩色图像数据上,完成实时的运动目标的检测。
所述S2中Verilog实现中值滤波模块的方法为:
S2.1、首先,为了求出矩阵中每一行的max,med和min值,需要例化三次排序模块;
S2.2、其次,需要分别获得min_of_max,med_of_med和max_of_min值,为此需要再例化三次排序模块,将第一步中获得的每行3个max,med和min 值输入排序模块中;
S2.3最后,再次例化一次排序模块,将第二步中输出的三个值作为输入,取其中值。
所述S4中将方框叠加到摄像头输出的原始RGB彩色图像数据上的为:通过对二值化后为1的像素点计算比较,获得运动目标区域的上下左右边界,而后在原始的彩色图像数据上,再计算获得每个像素点的坐标值,当坐标值在边界上时,将对应的像素点R信号赋值为255,即可获得红色的显示边框,而后输出到HMDI显示屏上,完成对运动目标的显示跟踪。
本发明与现有技术相比,具有的有益效果是:
1、本发明不需要依靠传统的计算机平台,在系统体积比上具有显著优势,方便部署。
2、本发明和FPGA相比,可以直接直接调用DDR3等片外资源。和传统平台相比,在图像数据的读写存储中使用乒乓存储加速,仅需要几个时钟周期,可并行处理;相比之下,传统的图像必须顺序处理完当前帧才可以进行下一帧的处理,处理速度具有巨大优势。
3、本发明和基于传统平台的算法相比,本发明将传统算法移植后,可通过流水线操作并行运算,加快了算法的运算速度。
4、本发明由于本设计加速了图像存储和处理运算,在传统平台上无法处理的1280×720分辨率15fps的图像可以在本发明上处理。
附图说明
图1为本发明的系统设计框图;
图2为本发明中值滤波的滤波模板图;
图3为本发明获得3x3的滤波模板的算法框图。
具体实施方式
下面将结合本发明实施例中的附图,对本发明实施例中的技术方案进行清楚、完整地描述,显然,所描述的实施例仅仅是本发明一部分实施例,而不是全部的实施例。基于本发明中的实施例,本领域普通技术人员在没有做出创造性劳动前提下所获得的所有其他实施例,都属于本发明保护的范围。
在本发明的描述中,需要说明的是,除非另有明确的规定和限定,术语“相连”、“连接”应做广义理解,例如,可以是固定连接,也可以是可拆卸连接,或一体地连接;可以是机械连接,也可以是电连接;可以是直接相连,也可以通过中间媒介间接相连,可以是两个元件内部的连通。对于本领域的普通技术人员而言,可以具体情况理解上述术语在本发明中的具体含义。
一种基于ZYNQ加速的运动目标检测系统,如图1所示,包括图像采集模块、图像缓存模块、图像处理模块、图像显示模块,图像采集模块分别连接有图像缓存模块和图像处理模块,图像处理模块分别与图像缓存模块、图像显示模块连接,图像缓存模块连接有图像显示模块。
进一步,图像采集模块包括SCCB控制模块、摄像头、第一数据转换模块, SCCB控制模块连接有摄像头,SCCB控制模块控制摄像头,对环境中的运动目标完成实时采集,摄像头连接有第一数据转换模块,第一数据转换模块分别连接有图像缓存模块和图像处理模块,第一数据转换模块将采集后的RGB565图像转换为AXI4_Stream数据流。
进一步,图像缓存模块包括DDR3缓存模块、AXI总线、VDMA0和VDMA1, DDR3缓存模块通过AXI总线分别与VDMA0和VDMA1连接,VDMA0连接有图像采集模块的第一数据转换模块、图像处理模块,VDMA1连接有图像显示模块, VDMA0和VDMA1用于将AX I4_Stream格式的数据流转换为Memory Map格式或将Memory Map格式的数据转换为AXI4_Stream数据流。
进一步,图像显示模块包括第二数据转换模块、显示模块、HDMI显示,第二数据转换模块通过显示模块连接有HDMI显示,第二数据转换模块连接有 VDMA1,第二数据转换模块将AXIS数据流转换成HDMI视频协议显示所需要的包含其行场同步信号。
进一步,图像处理模块包括格式转换模块、图像滤波模块、帧差运算模块、二值化模块、目标显示模块,格式转换模块通过图像滤波模块连接有帧差运算模块,帧差运算模块通过二值化模块连接有目标显示模块。
进一步,优选的,摄像头采用OV5640摄像头。系统选用的OV5640摄像头可以通过SCCB控制总线协议来配置修改OV5640对应的寄存器地址,从而实现多种分辨率和帧率的RGB图像采集。OV5640可以通过DVP接口输出最高30帧的 1080p分辨率图像,完全可以满足设计要求。OV5640输出的数据是行场同步信号控制的8位有效数据,本系统中采用的图像格式为RGB565,因此将采集到的数据转换为RGB图像数据时每个像素点采集需要两个时钟,首先采集R的5bit数据和 G的前3bit,第二个时钟里会采集G的后3bit和B的5bit数据,而后拼接成一个完整的16bitRGB565数据。而为了将RGB565格式的数据写入DDR3,必须先转换成VDMA使用的AXI4_Stream(下文称为AXIS)的格式数据流,而后续的图像处理模块也需要统一为此格式,此工作通过配置Video in to AXI4_Stream的IP 核来完成。
进一步,使用Xlinx公司的XC7Z020开发板作为硬件平台,其PS端为双核 ARMCortex-A9,PL端为Xlinx Artix7系列的FPGA架构,开发环境为Vivado 18.3。
一种基于ZYNQ加速的运动目标检测系统的检测方法,图像处理模块的处理方法为:包括下列步骤:
实现运动目标的检测,需要对运动目标的图像进行一系列的处理,如数据格式转换、滤波、二值化、形态学处理、目标指示等。在基于CPU的处理方法中,这些图像处理算法都是十分成熟的,但是CPU无法实时处理帧率较高(30 帧/秒)和高分辨率图像(640×480),此时的运动目标检测必须在并行处理硬件加速的帮助下才能完成,ZYNQ就是其中一种新的并行加速硬件。
但是ZYNQ平台上并不能实现所有的算法,需要根据其特点挑选合适的算法,用HDL重新对这些算法进行描述,并构建一个个分解开来的算法功能模块,最后再综合成所需要的算法。下文介绍帧差法运动目标检测的具体实现方法。
S1、图像格式转换:摄像头采集到的彩色图像处理运算量很大,因此需要先将其转换为灰度数据,在进行后续的数据处理,然而在图像显示时,灰度图像无法很好地完成任务,因此需要将摄像头输入的彩色视频流分为两个,一个用于转灰度,进行后续的图像处理;另一个则用于在图像处理完后进行显示跟踪;
将转换为AXI4_Stream数据流的RGB565数据再转换为RGB888数据,将高位不变,低位补充,即{R[4:0],R[2:0]},{G[5:0],G[2:0]},{B[4:0],B[2:0]}
Y=0.299R+0.587G+0.114B
Cb=0.568(B-Y)+128=-0.172R-0.339G+0.511B+128
Cr=0.713(R-Y)+128=0.511R-0.428G+128
在转换矩阵*256倍后,只需要将Y、Cb、Cr向右移位8位即可还原,得到如下公式:
Y=((77*R+150*G+29*B)>>8)
Cb=((-43*R-85*G+128*B)>>8)+128
Cr=((128*R-107*G-21*B)>>8+128
由于Verilog运算中出现负数会引起错误,并占用较高的资源,因此对上述公式进行变换,得到如下公式:
Y=(77*R+150*G+29*B)>>8
Cb=(-43*R-85*G+128*B+32768)>>8
Cr=(128*R-107*G-21*B+32768)>>8
其中:R、G、B分别表示以红、绿、蓝为三原色的颜色空间模型,Y、Cb、 Cr是欧洲电视系统所采用的一种颜色编码方法,Y表示明亮度,即灰阶值,Cb 和Cr表示色度,用于描述影像的饱和度和色调;R、G、B与Y、Cb、Cr的转换为色彩空间的转换,即将R、G、B的三原色色彩空间转换为Y、Cb、Cr所表示的亮度与色度的色彩空间模型;
S2、中值滤波:为了提升图像质量,需要消除转换得到的灰度图像中椒盐噪声和脉冲噪声等影响,因此对图像进行中值滤波,它产生的模糊很小,对边缘特性也具有良好的保持效果。中值滤波是一种非线性的平滑滤波,如图2所示为中值滤波的滤波模板。使用Verilog实现中值滤波算法时,使用流水线操作的方式对3x3的滤波模块进行快速排序。相比之下使用冒泡法等CPU使用的方法就会特别复杂,增加运算时间,如图3所示为算法框图:
为了获得3x3的滤波模板,先对前两行的数据进行寄存,因此引入一个 RAM,当第三行数据到达时将其读出,这时会得到一个3x1的矩阵,接下来连续寄存三次这个3x1的矩阵,获得所需要的3x3模板,然后通过Verilog实现中值滤波;
S3、帧差法及二值化:将摄像头输入的一帧图像数据当做当前帧,VDMA 会通过乒乓操作来进行帧缓存,即向DDR3缓存模块的地址0写入当前的图像数据时,会同时在地址1读取前一帧缓存的图像数据,做帧差运算时的关键是需要将当前帧与前一帧的每个像素数据一一对齐,因此在等待当前帧有效时, VDMA从DDR3缓存模块读取前一帧数据,缓存进FIFO,同时因为FIFO的读写都需要一个时钟周期,需要对当前帧数据延迟两个时钟周期来对齐图像数据,此时的两帧数据在经过图像处理之后便可进行帧差运算,再对差分结果进行二值化分析,当差分结果绝对值大于所设定的阈值时,结果为1,显示为白色,反之则为黑色,ZYNQ对只有0和1的二值数据处理会非常简单,具有良好的实时性。二值分析完成后,统计所有值为1的像素点,即为检测到的运动目标;在此算法中,获取矩阵,矩阵排序,取值和求中值都为并行运算,可以显著提高处理速度。
S4、目标显示:在得到二值分析的结果后,用方框框住运动目标所在区域,并将方框叠加到摄像头输出的原始RGB彩色图像数据上,完成实时的运动目标的检测。
S2中Verilog实现中值滤波模块的方法为:
S2.1、首先,为了求出矩阵中每一行的max,med和min值,需要例化三次排序模块;
S2.2、其次,需要分别获得min_of_max,med_of_med和max_of_min值,为此需要再例化三次排序模块,将第一步中获得的每行3个max,med和min 值输入排序模块中;
S2.3最后,再次例化一次排序模块,将第二步中输出的三个值作为输入,取其中值。
S4中将方框叠加到摄像头输出的原始RGB彩色图像数据上的为:通过对二值化后为1的像素点计算比较,获得运动目标区域的上下左右边界,而后在原始的彩色图像数据上,再计算获得每个像素点的坐标值,当坐标值在边界上时,将对应的像素点R信号赋值为255,即可获得红色的显示边框,而后输出到HMDI 显示屏上,完成对运动目标的显示跟踪。
初步实验结果显示在摄像头帧率设置为30FPS的情况下时,每一帧图像数据的传输需要消耗33.33ms的时间,而在OPENCV只能顺序处理图像的情况下,图像处理所用的时间对于每帧图像传输来说是无法忽视的,因此会有卡顿。在 1280×720的分辨率下,OPENCV过于卡顿,无法处理。而对于ZYNQ平台来说,图像处理花费的时间对于33.33ms基本可以忽视,因此视觉效果很流畅,会具有相当好的实时性。在1280×720的分辨率下,ZYNQ平台仍可以较好地完成任务。
上面仅对本发明的较佳实施例作了详细说明,但是本发明并不限于上述实施例,在本领域普通技术人员所具备的知识范围内,还可以在不脱离本发明宗旨的前提下作出各种变化,各种变化均应包含在本发明的保护范围之内。

Claims (9)

1.一种基于ZYNQ加速的运动目标检测系统,其特征在于:包括图像采集模块、图像缓存模块、图像处理模块、图像显示模块,所述图像采集模块分别连接有图像缓存模块和图像处理模块,所述图像处理模块分别与图像缓存模块、图像显示模块连接,所述图像缓存模块连接有图像显示模块。
2.根据权利要求1所述的一种基于ZYNQ加速的运动目标检测系统,其特征在于:所述图像采集模块包括SCCB控制模块、摄像头、第一数据转换模块,所述SCCB控制模块连接有摄像头,所述SCCB控制模块控制摄像头,对环境中的运动目标完成实时采集,所述摄像头连接有第一数据转换模块,所述第一数据转换模块分别连接有图像缓存模块和图像处理模块,所述第一数据转换模块将采集后的RGB565图像转换为AXI4_Stream数据流。
3.根据权利要求2所述的一种基于ZYNQ加速的运动目标检测系统,其特征在于:所述图像缓存模块包括DDR3缓存模块、AXI总线、VDMA0和VDMA1,所述DDR3缓存模块通过AXI总线分别与VDMA0和VDMA1连接,所述VDMA0连接有图像采集模块的第一数据转换模块、图像处理模块,所述VDMA1连接有图像显示模块,所述VDMA0和VDMA1用于将AX I4_Stream格式的数据流转换为Memory Map格式或将Memory Map格式的数据转换为AXI4_Stream数据流。
4.根据权利要求3所述的一种基于ZYNQ加速的运动目标检测系统,其特征在于:所述图像显示模块包括第二数据转换模块、显示模块、HDMI显示,所述第二数据转换模块通过显示模块连接有HDMI显示,所述第二数据转换模块连接有VDMA1,所述第二数据转换模块将AXIS数据流转换成HDMI视频协议显示所需要的包含其行场同步信号。
5.根据权利要求4所述的一种基于ZYNQ加速的运动目标检测系统,其特征在于:所述图像处理模块包括格式转换模块、图像滤波模块、帧差运算模块、二值化模块、目标显示模块,所述格式转换模块通过图像滤波模块连接有帧差运算模块,所述帧差运算模块通过二值化模块连接有目标显示模块。
6.根据权利要求2所述的一种基于ZYNQ加速的运动目标检测系统,其特征在于:所述摄像头采用OV5640摄像头。
7.根据权利要求1-6任一项所述的一种基于ZYNQ加速的运动目标检测系统的检测方法,其特征在于:所述图像处理模块的处理方法为:包括下列步骤:
S1、图像格式转换:将摄像头输入的彩色视频流分为两个,一个用于转灰度,进行后续的图像处理;另一个则用于在图像处理完后进行显示跟踪;
将转换为AXI4_Stream数据流的RGB565数据再转换为RGB888数据,将高位不变,低位补充,即{R[4:0],R[2:0]},{G[5:0],G[2:0]},{B[4:0],B[2:0]}
Y=0.299R+0.587G+0.114B
Cb=0.568(B-Y)+128=-0.172R-0.339G+0.511B+128
Cr=0.713(R-Y)+128=0.511R-0.428G+128
在转换矩阵*256倍后,只需要将Y、Cb、Cr向右移位8位即可还原,得到如下公式:
Y=((77*R+150*G+29*B)>>8)
Cb=((-43*R-85*G+128*B)>>8)+128
Cr=((128*R-107*G-21*B)>>8+128
由于Verilog运算中出现负数会引起错误,并占用较高的资源,因此对上述公式进行变换,得到如下公式:
Y=(77*R+150*G+29*B)>>8
Cb=(-43*R-85*G+128*B+32768)>>8
Cr=(128*R-107*G-21*B+32768)>>8
所述R、G、B分别表示以红、绿、蓝为三原色的颜色空间模型,所述Y、Cb、Cr是欧洲电视系统所采用的一种颜色编码方法,所述Y表示明亮度,即灰阶值,所述Cb和Cr表示色度,用于描述影像的饱和度和色调;所述R、G、B 与Y、Cb、Cr的转换为色彩空间的转换,即将R、G、B的三原色色彩空间转换为Y、Cb、Cr所表示的亮度与色度的色彩空间模型;
S2、中值滤波:使用Verilog实现中值滤波算法时,使用流水线操作的方式对3x3的滤波模块进行快速排序;
为了获得3x3的滤波模板,先对前两行的数据进行寄存,因此引入一个RAM,当第三行数据到达时将其读出,这时会得到一个3x1的矩阵,接下来连续寄存三次这个3x1的矩阵,获得所需要的3x3模板,然后通过Verilog实现中值滤波;
S3、帧差法及二值化:将摄像头输入的一帧图像数据当做当前帧,VDMA会通过乒乓操作来进行帧缓存,即向DDR3缓存模块的地址0写入当前的图像数据时,会同时在地址1读取前一帧缓存的图像数据,做帧差运算时的关键是需要将当前帧与前一帧的每个像素数据一一对齐,因此在等待当前帧有效时,VDMA从DDR3缓存模块读取前一帧数据,缓存进FIFO,同时因为FIFO的读写都需要一个时钟周期,需要对当前帧数据延迟两个时钟周期来对齐图像数据,此时的两帧数据在经过图像处理之后便可进行帧差运算,再对差分结果进行二值化分析,当差分结果绝对值大于所设定的阈值时,结果为1,显示为白色,反之则为黑色,ZYNQ对只有0和1的二值数据处理会非常简单,具有良好的实时性,二值分析完成后,统计所有值为1的像素点,即为检测到的运动目标;
S4、目标显示:在得到二值分析的结果后,用方框框住运动目标所在区域,并将方框叠加到摄像头输出的原始RGB彩色图像数据上,完成实时的运动目标的检测。
8.根据权利要求7任一项所述的一种基于ZYNQ加速的运动目标检测系统的检测方法,其特征在于:所述S2中Verilog实现中值滤波模块的方法为:
S2.1、首先,为了求出矩阵中每一行的max,med和min值,需要例化三次排序模块;
S2.2、其次,需要分别获得min_of_max,med_of_med和max_of_min值,为此需要再例化三次排序模块,将第一步中获得的每行3个max,med和min值输入排序模块中;
S2.3最后,再次例化一次排序模块,将第二步中输出的三个值作为输入,取其中值。
9.根据权利要求7任一项所述的一种基于ZYNQ加速的运动目标检测系统的检测方法,其特征在于:所述S4中将方框叠加到摄像头输出的原始RGB彩色图像数据上的为:通过对二值化后为1的像素点计算比较,获得运动目标区域的上下左右边界,而后在原始的彩色图像数据上,再计算获得每个像素点的坐标值,当坐标值在边界上时,将对应的像素点R信号赋值为255,即可获得红色的显示边框,而后输出到HMDI显示屏上,完成对运动目标的显示跟踪。
CN202111434261.0A 2021-11-29 2021-11-29 一种基于zynq加速的运动目标检测系统 Pending CN114449131A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202111434261.0A CN114449131A (zh) 2021-11-29 2021-11-29 一种基于zynq加速的运动目标检测系统

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202111434261.0A CN114449131A (zh) 2021-11-29 2021-11-29 一种基于zynq加速的运动目标检测系统

Publications (1)

Publication Number Publication Date
CN114449131A true CN114449131A (zh) 2022-05-06

Family

ID=81364502

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202111434261.0A Pending CN114449131A (zh) 2021-11-29 2021-11-29 一种基于zynq加速的运动目标检测系统

Country Status (1)

Country Link
CN (1) CN114449131A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115174763A (zh) * 2022-07-05 2022-10-11 重庆邮电大学 一种基于zynq的图像实时显示系统

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104935885A (zh) * 2015-06-04 2015-09-23 电子科技大学 一种基于axi总线的可扩展多路图像采集装置
CN107909599A (zh) * 2017-10-24 2018-04-13 天津大学 一种目标检测与跟踪系统
CN110717852A (zh) * 2019-06-13 2020-01-21 内蒙古大学 一种基于fpga的田间视频图像实时分割系统及方法
CN111340841A (zh) * 2020-03-19 2020-06-26 昆明理工大学 一种实时多运动目标检测方法与系统
CN112235525A (zh) * 2020-09-15 2021-01-15 中国科学院微电子研究所 基于ZYNQ的GigE接口相机目标识别方法及系统

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104935885A (zh) * 2015-06-04 2015-09-23 电子科技大学 一种基于axi总线的可扩展多路图像采集装置
CN107909599A (zh) * 2017-10-24 2018-04-13 天津大学 一种目标检测与跟踪系统
CN110717852A (zh) * 2019-06-13 2020-01-21 内蒙古大学 一种基于fpga的田间视频图像实时分割系统及方法
CN111340841A (zh) * 2020-03-19 2020-06-26 昆明理工大学 一种实时多运动目标检测方法与系统
CN112235525A (zh) * 2020-09-15 2021-01-15 中国科学院微电子研究所 基于ZYNQ的GigE接口相机目标识别方法及系统

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115174763A (zh) * 2022-07-05 2022-10-11 重庆邮电大学 一种基于zynq的图像实时显示系统

Similar Documents

Publication Publication Date Title
CA2039028C (en) Image processor
CN104200447B (zh) 一种实时低照度彩色图像增强装置
JP2000236473A (ja) 画像入力装置の画像処理回路
CN107862672B (zh) 图像去雾的方法及装置
Sajjanar et al. Implementation of real time moving object detection and tracking on FPGA for video surveillance applications
CN101227621A (zh) 在cmos传感器中对cfa进行插值的方法及电路
JP4386959B1 (ja) 画像処理装置
CN112788329A (zh) 视频静帧检测方法、装置、电视及存储介质
CN114449131A (zh) 一种基于zynq加速的运动目标检测系统
CN108810506A (zh) 一种基于fpga的透雾增强图像处理方法及系统
CN112767278B (zh) 基于非均匀大气光先验的图像去雾方法及相关设备
US7411613B2 (en) Video signal processing apparatus
CN106408617B (zh) 一种基于yuv颜色空间的交互式单幅图像材质获取系统和方法
CN117726547A (zh) 基于改进暗通道算法的去雾实现方法、系统
US7567297B2 (en) Television visual artwork generation system and method
CN109873954B (zh) 一种基于FPGA实现Bayer阵列彩色恢复方法
CN113409196A (zh) 一种用于实时视频拼接的高速全局色差矫正方法
CN109688314B (zh) 低延时少缓存且数据输出方式可控的摄像头系统及方法
KR100933171B1 (ko) 그래픽 디스플레이 기능을 구현한 비메모리 반도체
CN114155222B (zh) 一种基于fpga的实时边缘检测系统
CN111866516B (zh) 一种灰度视频的实时图像增强装置及方法
JP3096756B2 (ja) 画像変換装置
Chen et al. Low-light image enhancement and acceleration processing based on ZYNQ
CN108184090B (zh) 一种cmos相机多分辨率vesa视频向bt1120协议视频的自动转换方法
CN113242389A (zh) 用于rccb图像传感器的多帧动态范围扩展方法及系统

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination