CN113641011A - Thin film electro-optic modulator and preparation method thereof - Google Patents

Thin film electro-optic modulator and preparation method thereof Download PDF

Info

Publication number
CN113641011A
CN113641011A CN202110961560.3A CN202110961560A CN113641011A CN 113641011 A CN113641011 A CN 113641011A CN 202110961560 A CN202110961560 A CN 202110961560A CN 113641011 A CN113641011 A CN 113641011A
Authority
CN
China
Prior art keywords
layer
piezoelectric
glaze
waveguide
thin film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN202110961560.3A
Other languages
Chinese (zh)
Other versions
CN113641011B (en
Inventor
尹志军
倪荣萍
吴剑波
叶志霖
李胜雨
张虞
许志城
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nanjing Nanzhi Institute Of Advanced Optoelectronic Integration
Original Assignee
Nanjing Nanzhi Institute Of Advanced Optoelectronic Integration
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nanjing Nanzhi Institute Of Advanced Optoelectronic Integration filed Critical Nanjing Nanzhi Institute Of Advanced Optoelectronic Integration
Priority to CN202110961560.3A priority Critical patent/CN113641011B/en
Publication of CN113641011A publication Critical patent/CN113641011A/en
Application granted granted Critical
Publication of CN113641011B publication Critical patent/CN113641011B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/03Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on ceramics or electro-optical crystals, e.g. exhibiting Pockels effect or Kerr effect
    • G02F1/0305Constructional arrangements
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/122Basic optical elements, e.g. light-guiding paths
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/03Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on ceramics or electro-optical crystals, e.g. exhibiting Pockels effect or Kerr effect
    • G02F1/0305Constructional arrangements
    • G02F1/0316Electrodes
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/03Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on ceramics or electro-optical crystals, e.g. exhibiting Pockels effect or Kerr effect
    • G02F1/035Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on ceramics or electro-optical crystals, e.g. exhibiting Pockels effect or Kerr effect in an optical waveguide structure

Landscapes

  • Physics & Mathematics (AREA)
  • Nonlinear Science (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Optical Modulation, Optical Deflection, Nonlinear Optics, Optical Demodulation, Optical Logic Elements (AREA)
  • Optical Integrated Circuits (AREA)

Abstract

The application discloses film electro-optic modulator, film electro-optic modulator includes substrate layer (1), piezoelectric thin film layer (3) and grid electrode layer (4), wherein, one side of piezoelectric thin film layer (3) forms ridge type waveguide (6), and, ridge type waveguide (6) with grid electrode layer (4) separated centre the both sides of piezoelectric thin film layer (3), thereby can carry out the secondary sculpture on piezoelectric thin film layer (3), make ridge type waveguide (6) have stronger constraint ability to the light wave to reduce signal loss. The application also provides a method for preparing the thin-film electro-optic modulator, the method is based on the piezoelectric wafer without the substrate with low price, and glaze with silicon dioxide as a main component is used as a bonding agent to connect the piezoelectric thin-film layer (3) and the substrate layer (1), so that the limitations of the traditional process and the cost are broken through.

Description

Thin film electro-optic modulator and preparation method thereof
Technical Field
The application belongs to the field of semiconductor electro-optical devices, and particularly relates to a thin-film electro-optical modulator and a preparation method thereof.
Background
The electro-optic modulator uses electro-optic material, such as lithium niobate crystal (LiNbO)3) Gallium arsenide (GaAs) or lithium tantalate (LiTaO) crystals3) The electro-optical effect (i.e., the effect in which the refractive index of the electro-optical material changes when a voltage is applied thereto, and the characteristics of the light wave passing through the material change), and the phase, amplitude, intensity, and polarization state of the optical signal can be modulated by the electro-optical modulator.
Fig. 1 is a schematic cross-sectional structure diagram of a conventional electro-optical modulator, and as shown in fig. 1, a core component of the conventional electro-optical modulator is a waveguide made of an electro-optical material, wherein the waveguide and a metal electrode are disposed on the same side of a piezoelectric thin film layer, and a loss rate of the signal in the waveguide is mainly affected by factors such as a height and a width of the waveguide and a thickness of the piezoelectric thin film layer.
However, the conventional thin film waveguide electro-optic modulator has its own process limitations, for example, the conventional lithium niobate thin film preparation method requires ion implantation and bonding processes, and the ion implanter and the bonding machine are expensive, which limits many enterprises to prepare lithium niobate thin films; moreover, the single bonder can only be operated by a single chip, so that the preparation of a large batch of lithium niobate thin films is difficult, and the large-batch preparation is difficult; moreover, conventional thin-film electro-optic modulators are fabricated on the basis of piezoelectric thin films having substrates, and the price of the thin-film electro-optic modulator fabricated therefrom is correspondingly expensive due to the high price of the piezoelectric thin films.
Disclosure of Invention
In order to solve the above problem, the present application provides a thin film electro-optic modulator, thin film electro-optic modulator includes substrate layer 1, piezoelectric thin film layer 3 and grid electrode layer 4, wherein, one side of piezoelectric thin film layer 3 forms ridge waveguide 6, and, ridge waveguide 6 with grid electrode layer 4 is separated the both sides of piezoelectric thin film layer 3, thereby can carry out the secondary sculpture on piezoelectric thin film layer 3, make ridge waveguide 6 has stronger constraint ability to the light wave to reduce signal loss. The application also provides a method for preparing the thin-film electro-optic modulator, the method is based on the piezoelectric wafer without the substrate with low price, and the glaze with the main component of silicon dioxide is used as the adhesive to connect the piezoelectric thin-film layer 3 and the substrate layer 1, so that the limitations of the traditional process and the cost are broken through.
The present application aims to provide the following two aspects:
in a first aspect, the application provides a film electro-optic modulator, electro-optic modulator includes substrate layer 1, frit welded layer 2, piezoelectric thin film layer 3, grid electrode layer 4 and packaging layer 5 in proper order, wherein, piezoelectric thin film layer 3 is formed with ridge type waveguide 6 in one side adjacent with frit welded layer 2, ridge type waveguide 6 with grid electrode layer 4 is the branch is in piezoelectric thin film layer 3's both sides.
In an implementable manner, a silicon dioxide layer 7 is also provided between the frit weld layer 2 and the piezoelectric film layer 3.
In an implementation manner, a waveguide groove 8 corresponding to the ridge waveguide 6 may be further formed on a side surface of the piezoelectric thin film layer 3 adjacent to the grid electrode layer 4, and the width of the waveguide groove 8 is not greater than the width of the ridge waveguide 6, so that the cross section of the ridge waveguide 6 forms a concave-like structure.
In a realisable manner, the depth of the waveguide groove 8 is between 0nm and 1 μm, preferably between 10nm and 100 nm.
In an implementable manner, there are two of the ridge waveguides 6, and the two ridge waveguides 6 form a mach-zehnder structure.
Optionally, the two straight portions of the ridge waveguide 6 in the mach-zehnder structure are parallel, and the distance between the two straight portions is 5 μm to 30 μm, preferably 10 μm to 20 μm.
In a realisable manner, the ridge of the ridge waveguide 6 has a height of 100nm to 5 μm, preferably 300nm to 1 μm.
In an implementation manner, the grid electrode layer 4 includes a plurality of electrode bars 41, optionally, the electrodes at two sides of the mach-zehnder structure are ground electrodes, the shapes and the sizes of the ground electrodes are respectively the same, and the electrode at the middle of the mach-zehnder structure is a signal electrode, and the shape and the size of the signal electrode can be different from those of the ground electrode.
Optionally, the distance between the electrode strip 41 and the straight line part of the ridge waveguide is 100nm-5 μm, and preferably 300 nm-1 μm.
In a second aspect, the present application also provides a method of making a thin film electro-optic modulator of the first aspect, the method comprising:
preparing a waveguide structure on the surface of one side of the piezoelectric wafer;
preparing a glaze layer on the surface of a substrate material;
fusing a surface of the waveguide structure with a glaze layer;
thinning the piezoelectric wafer to obtain a piezoelectric film layer;
preparing a grid electrode layer on the surface of the piezoelectric thin film layer;
and preparing an encapsulation layer on the grid electrode layer.
In an implementation mode, the waveguide structure is prepared on the surface of the piezoelectric wafer through a photoresist method and a focused ion beam etching method.
In an implementable manner, after the preparation of the waveguide structure is completed and before the fusing of the surface of the waveguide structure with the glaze layer, it may further comprise: SiO is deposited on the surface of the prepared waveguide structure2And performing planarization processing.
Can be understoodIf SiO is deposited on the surface of the waveguide2Fusing the surface of the waveguide structure with the glaze layer is to fuse SiO2The layer is fused with the glaze layer.
In an implementable manner, the preparing a glaze layer on a surface of a substrate material may include:
coating glaze on the surface of the piezoelectric wafer;
and flattening and shaping the glaze.
Optionally, the main component of the glaze is silicon dioxide, and the auxiliary materials include: alumina, zinc oxide, ethyl cellulose, the solvent comprises: esters and at least two of ethers, alcohols and hydrocarbon compounds, generally being a mixture of the lipids and other compounds, wherein the lipids can enable the slurry to have good lubricating performance, namely the slurry can be heated more smoothly, the other compounds are gasified in the heating process due to low boiling point, so that air holes are less after heating, wherein based on the total volume of the glaze, the content of the silicon dioxide is 50 g/mL-200 g/mL, the viscosity of the glaze is 50 Pa.s-400 Pa.s, the main component is high-purity silicon dioxide, the melting point of the glaze is lower than that of a piezoelectric wafer, preferably, the glaze can be glass slurry, wherein the sintering temperature of the glass slurry is 470-550 ℃, the fineness of the glass slurry is less than 8 mu m, and the viscosity of the glass slurry is 100 Pa.s-200 Pa.s, so that only the glaze is in a molten state in the process of preparing the composite piezoelectric substrate, the piezoelectric wafer is in a solid state, and the lattice structure of the piezoelectric wafer can be kept unchanged so as to ensure the piezoelectric performance of the composite piezoelectric substrate.
Alternatively, the method for coating the glaze on the surface of the piezoelectric wafer comprises brushing, suspension coating and spraying.
Further, the brushing comprises:
laying a layer of silk screen on the piezoelectric wafer, wherein the thickness of the silk screen is 100-500 μm, the grid of the silk screen can be square, the size of the mesh is 1-20 mm, and the material can be copper or stainless steel;
uniformly brushing a layer of glaze on the surface of the piezoelectric wafer through the silk screen, wherein the thickness of the glaze is less than or equal to that of the silk screen;
and taking down the silk screen.
In the present application, the suspension coating may be any suspension coating method that can use a wafer as an object in the prior art; the spraying can be any one of the suspension coating methods which can take a wafer as an object in the prior art.
In one implementable form, planarizing and sizing the glaze comprises:
heating the piezoelectric wafer coated with the glaze to the volatilization temperature of a glaze solvent, and preserving heat;
and continuously heating to the melting point of the glaze, and cooling after heat preservation.
Optionally, the glaze layer may be subjected to surface treatment after cooling and solidifying, the surface treatment including grinding and polishing. In the application, after surface treatment, the roughness of the surface of the glaze layer is less than 10nm, so that the upper surface and the lower surface are parallel after the substrate and the upper film are fused.
In one implementation, the frit layer has a thickness of 0.1-1000 μm to provide sufficient support for the piezoelectric wafer.
In a practical manner, after the preparation of the frit layer, the piezoelectric wafer may be thinned, and the thinning process may be performed by any method in the prior art, such as ion implantation, grinding and polishing, etc.
Further, the thickness of the piezoelectric wafer after thinning processing can be specifically set according to the use requirement.
In one implementable form, said fusing the surface of the waveguide structure with the glaze layer may comprise:
preparing a silicon dioxide layer on the waveguide structure;
heating the glaze layer to a molten state;
bonding the glaze layer and the silicon dioxide layer;
and (5) cooling the system.
In an implementation manner, the thinning process on the piezoelectric wafer to obtain the piezoelectric thin film layer may include an ion implantation lift-off method and grinding.
In an implementation manner, the preparing the grid electrode layer on the surface of the piezoelectric thin film layer may include electron beam evaporation coating, magnetron sputtering, ion sputtering, and the like.
In one implementable manner, the encapsulation layer may be made of silicon dioxide.
Alternatively, the preparing of the encapsulation layer on the grid electrode layer may include a thermal deposition method, magnetron sputtering, vacuum evaporation ion sputtering, and the like.
Compared with the prior art, the thin film electro-optic modulator is prepared on the basis of the piezoelectric wafer with relatively low price, and the waveguide with the Mach-Zehnder structure and the metal electrode are respectively arranged on the two sides of the piezoelectric thin film layer, so that the piezoelectric thin film layer can be further etched to form a relatively closed signal transmission space, signals are completely bound in the waveguide structure in the transmission process, and the loss is reduced; the method for preparing the lithium ion thin film electro-optic modulator is prepared on the basis of the piezoelectric wafer with relatively low price, the glaze which is easy to obtain is used as the adhesive to connect the piezoelectric thin film layer and the substrate material, the production cost is greatly reduced, the structure of the personalized piezoelectric thin film layer can be conveniently prepared, the limitation and the cost restriction of the preparation process of the electro-optic modulator on the basis of the piezoelectric thin film with the substrate are broken, and the glaze welding layer has no negative effect on the performance of the electro-optic modulator.
In addition, compared with a welding layer which uses organic compounds such as polymers and the like as a substrate layer and a lithium niobate layer, the inorganic glaze is used for preparing the welding layer, and the inorganic glaze is low in production cost, so that the inorganic glaze can be purchased at home at present and is mature in market; moreover, the inorganic glaze material has stable performance after being sintered, is high temperature resistant and is not easy to age; furthermore, the welding layer prepared from the inorganic glaze materials with different component proportions can have different dielectric constants and resistivities, so that the formula of the inorganic glaze material can be prepared according to the requirements.
Compare with current thin film photoelectric modulator's structure, the thin film photoelectric modulator that this application provided is provided with waveguide groove position (8) that can etch, constraint waveguide that can be better.
Experiments prove that the performance of the thin-film electro-optic modulator provided by the application is equivalent to or even better than that of the traditional thin-film electro-optic modulator.
Drawings
FIG. 1 is a schematic diagram showing a cross-sectional structure of a conventional electro-optic modulator;
FIG. 2 shows a schematic cross-sectional structure of a thin-film electro-optic modulator provided herein;
FIG. 3 shows an exploded perspective view of the thin film electro-optic modulator of FIG. 2;
FIG. 4 is a schematic cross-sectional view of another preferred thin-film electro-optic modulator of the present example;
FIG. 5 shows a flow chart of a preferred method for preparing the lithium niobate thin-film electro-optic modulator.
Description of the reference numerals
The manufacturing method comprises the following steps of 1-a substrate layer, 2-a glaze welding layer, 3-a piezoelectric film layer, 4-a grid electrode layer, 41-an electrode strip, 5-a packaging layer, 6-a ridge waveguide, 7-a silicon dioxide layer and 8-a waveguide groove.
Detailed Description
Reference will now be made in detail to the exemplary embodiments, examples of which are illustrated in the accompanying drawings. When the following description refers to the accompanying drawings, like numbers in different drawings represent the same or similar elements unless otherwise indicated. The embodiments described in the following exemplary embodiments do not represent all embodiments consistent with the present invention. Rather, they are merely examples of methods consistent with certain aspects of the invention, as detailed in the appended claims.
The thin-film electro-optic modulator and the method for manufacturing the same provided by the present application are described in detail below with specific examples.
First, a brief introduction is made to a usage scenario of the present solution.
With the increasing number of multimedia services, wireless access, internet access devices, and mobile users, the network bandwidth is more and more difficult to meet the increasing network requirements of people. One of the factors affecting the network bandwidth is the bandwidth of the optical modulator, and in order to obtain a larger network bandwidth, increase the data conversion speed, reduce the cost, and pursue a higher-quality electro-optical modulator is imperative.
Fig. 2 shows a schematic cross-sectional structure diagram of a thin-film electro-optic modulator provided in the present application, and fig. 3 shows a three-dimensional exploded view of the thin-film electro-optic modulator shown in fig. 2, as shown in fig. 2 and fig. 3, the lithium niobate thin-film electro-optic modulator sequentially includes a substrate layer 1, a frit fusion layer 2, a silica layer 7, a piezoelectric thin-film layer 3, a grid electrode layer 4, and a package layer 5, where the piezoelectric thin-film layer 3 is formed with a ridge waveguide 6 on a side adjacent to the frit fusion layer 2, and the ridge waveguide 6 and the grid electrode layer 4 are separated from each other on two sides of the piezoelectric thin-film layer 3.
The material for preparing the substrate layer 1 is not particularly limited in this example, and any one of materials usable as a piezoelectric thin film substrate in the prior art, for example, silicon dioxide, single crystal silicon, aluminum oxide, lithium tantalate, lithium niobate, or other semiconductor materials, etc., may be used.
In this example, the thickness of the substrate layer 1 can be specifically set according to the requirements of the thin-film electro-optical modulator, for example, the thickness of the substrate layer 1 is 5 μm to 20 μm.
In the present example, the frit layer 2 is void-free, and the applicant has found that the frit is capable of eliminating the void therein during the melting process, resulting in a void-free frit layer.
Furthermore, the applicant has also found that frit layers made of frits of different formulations have slightly different properties, in particular, slightly different parameters such as dielectric constant and conductivity. In this embodiment, the dielectric constant is 3.4-6 and the conductivity is 3 × 10-2~3×10-14Ω-1〃cm-1The frit welding layer of (2) to meet the performance requirements of the electro-optic modulator.
In this example, the thickness of the frit fusion layer 2 may be 20nm to 1 μm, so that the total volume of the thin-film electro-optical modulator is substantially unchanged, thereby ensuring that the application range of the thin-film electro-optical modulator at least meets the application range of the conventional thin-film electro-optical modulator. Further, the thickness of the frit layer can be used to adjust the performance of the electro-optic modulator, i.e., a higher speed modulator can be obtained by adjusting the thickness of the frit layer, and in particular, impedance matching and wave speed matching can be obtained by adjusting the thickness of the frit layer 2.
In the present example, the substrate layer 1 and the piezoelectric thin film layer 3 are fused into a whole through the frit fusion layer 2, and the applicant finds that a silica layer 7 can be arranged between the frit fusion layer 2 and the piezoelectric thin film layer 3, and the silica layer 7 can be used as a transition layer to make the bonding strength of the frit fusion layer 2 and the piezoelectric thin film layer 3 larger, so as to improve the structural stability of the final product thin film electro-optic modulator.
In the present example, the frit layer 2 is made of a frit, the main component of which is silica, preferably high-purity silica, supplemented with adjuvants and solvents, wherein the adjuvants include: alumina, zinc oxide, ethyl cellulose, the solvent comprising: esters and at least two of ethers, alcohols and hydrocarbon compounds.
Alternatively, the solvent is a combination of a lipid compound and another lipid compound, and the applicant has found that the lipid compound can provide the glaze with good lubricating performance, so that the glaze is smoother at high temperature, and can be gasified during the heating treatment of the glaze due to the low boiling point of the lipid compound, that is, the lipid compound can be gasified before the glaze is not completely cured, so that the pores in the obtained glaze welded layer are less, and the porosity of the glaze welded layer 2 is reduced.
In this example, the silica content is 50g/mL to 200g/mL based on the total volume of the glaze, the glaze has a viscosity of 50Pa · s to 400Pa · s, preferably, the glaze may be a glass paste, a doped glass paste or other binder having a lower melting point than that of the piezoelectric wafer, wherein the glass paste may have a sintering temperature of 470 ℃ to 550 ℃, a fineness of less than 8 μm, a viscosity of 100Pa · s to 200Pa · s, and a melting point lower than that of the piezoelectric wafer, so that only the glaze is in a molten state during the preparation of the composite piezoelectric substrate, and the piezoelectric wafer is in a solid state, and the lattice structure of the piezoelectric wafer can be maintained constant so as to ensure the piezoelectric performance of the composite piezoelectric substrate.
The applicant has found that by using the above glaze, a frit layer is produced, which is treated to remove a volatile component such as a solvent, and finally a frit layer 2 is formed on the substrate layer, and the main component of the frit layer 2 is silicon dioxide.
In the present example, the thickness of the silica layer 7 is in the range of 20nm to 50nm, preferably 30nm to 40nm, and the applicant has found that a silica layer 7 of the above thickness is convenient to manufacture and provides sufficient transitional coupling for the frit layer 2 and the piezoelectric film layer 3.
In this example, the piezoelectric thin film layer 3 may be any piezoelectric material thin film in the prior art, such as a homogeneous lithium niobate thin film, a near stoichiometric lithium niobate thin film, a doped lithium niobate thin film, etc., and it is understood that other piezoelectric thin films in the prior art may be used.
The applicant finds that the lithium niobate crystal is an artificially synthesized negative uniaxial crystal and has higher piezoelectric coefficient, ferroelectric coefficient and acousto-optic coefficient, and particularly, the lithium niobate crystal has higher electrooptical coefficient and lower half-wave voltage required by unit length, so that a device prepared by using the lithium niobate has long service life and stable working performance; the optical waveguide made of the lithium niobate crystal can be directly coupled with the optical fiber, and the coupling loss is low; in theory, the optical waveguide prepared by using the lithium niobate can realize zero chirp signal modulation, is almost not limited by optical fiber dispersion, and is suitable for signal transmission of high-speed and long-distance single-mode optical fibers, particularly the field of optical fiber communication with the working wavelength of about 1550 nm; since the operating speed of an optical waveguide made of lithium niobate can be made very high by providing a traveling wave electrode structure in the optical waveguide, lithium niobate is preferably used as a piezoelectric material of a piezoelectric thin-film electro-optical modulator in the present application.
In the present embodiment, the thickness of the piezoelectric thin film layer 3 may be 1 μm to 100 μm, and the applicant has found that the thickness of the piezoelectric thin film layer 3 is in the above range, and the performance and size of the thin film electro-optic modulator can meet the requirement of use.
The applicants have found that thin film electro-optic modulators made from lithium niobate are somewhat smaller in volume than thin film modulators made from other materials because of the relatively high electro-optic coefficient of lithium niobate.
In this example, the ridge waveguide 6 is disposed between the piezoelectric thin film layer 3 and the silicon dioxide layer 7, and the ridge waveguide 6 is integrally formed with the piezoelectric thin film layer 3, so that an acousto-optic signal can propagate along the ridge waveguide.
In this example, the ridge waveguide 6 may be in the shape of any one of the prior art thin film waveguides, for example, a waveguide having a mach-zehnder structure, specifically, two ridge waveguides 6 forming a mach-zehnder structure, specifically, a mach-zehnder modulator structure including two 1 × 2 optical splitters and two optical waveguides for transmitting light beams. The light beam is divided into two light beams by the 1 x 2 optical beam splitter, the phases of the two optical waveguides are modulated differently by the grid electrode layer 4 which is sleeved on the surface of the piezoelectric film layer 3, and then the two light beams are combined into one light beam by the 1 x 2 optical beam splitter and output.
Optionally, the two straight portions of the ridge waveguide 6 in the mach-zehnder structure are parallel, and the distance between the two straight portions is 5 μm to 30 μm, preferably 10 μm to 20 μm.
In this example, the ridge of the ridge waveguide 6 has a height of 100nm to 5 μm, preferably 300nm to 1 μm.
In this example, the width of the ridge waveguide is 0nm to 1 μm, preferably 0nm to 100 nm.
The applicant finds that the ridge waveguide of the above specification can efficiently perform photoelectric conversion and perform optical information transmission, and occupies a small volume, facilitating the integration of devices.
In the present example, the metal for preparing the grid electrode layer 4 may be gold, silver, aluminum, titanium, or the like, since the material resistivity ρ unit at 20 ℃ of the above metal: n Ω "m are respectively: 15.86 silver, 16.78 copper, 24 gold, 26.548 aluminum, combined with cost and manufacturing process difficulties, the present application prefers to use gold as the electrode material.
In this example, the grid electrode layer 4 includes a plurality of electrode bars 41, each of the electrode bars 41 is rectangular, and each of the electrode bars 41 is parallel to a straight line portion of the ridge waveguide 6, so as to be used in a thin film electro-optic modulator.
Alternatively, the electrodes located on both sides of the mach-zehnder structure may be ground electrodes having the same shape and size, and the electrode located in the middle of the mach-zehnder structure may be a signal electrode having a shape and size different from those of the ground electrode.
Optionally, the distance between the electrode strip 41 and the straight line part of the ridge waveguide is 100nm to 5 μm, and preferably 300nm to 1 μm. The applicant has found that in the above-mentioned position, the grid electrode layer 4 is able to obtain an electric field distribution modulated optical field.
In this example, the grid electrode layer 4 is disposed between the piezoelectric thin film layer 3 and the package layer 5, that is, the ridge waveguide 6 and the grid electrode layer 4 are separated at two sides of the piezoelectric thin film layer 3, so that the piezoelectric thin film layer 3 is disposed between the adjacent electrode strips 41, that is, the back surface of the ridge waveguide 6, and thus the ridge waveguide is subjected to secondary processing, that is, the back surface of the ridge waveguide 6 is removed from the corresponding portion of the piezoelectric thin film layer 3 to form a structure similar to a concave shape, that is, a foundation is provided for forming the waveguide groove 8.
Fig. 4 shows a schematic cross-sectional structure of another preferred thin-film electro-optic modulator of the present embodiment, as shown in fig. 4, the width of the waveguide groove 8 is not greater than the width of the ridge waveguide 6, and optionally, the depth of the waveguide groove 8 is 0nm to 1 μm, preferably 10nm to 100 nm. The applicant finds that the waveguide groove 8 enables the ridge waveguide 6 to form a concave structure, and the structure is more beneficial to binding of the waveguide to the photoelectric signal, so that the loss of the signal is reduced, and the performance of the thin film electro-optic modulator is improved. Further, the waveguide groove 8 with the above specification can better confine light in the waveguide, obtain a better optical waveguide mode, and reduce transmission loss of light.
In this example, the encapsulation layer 5 may be made of silicon dioxide.
In this example, a structure matching the electrode strips 41 and the piezoelectric thin film layer 3 is formed on the side surface of the encapsulation layer 5 adjacent to the grid electrode layer 4, so that the encapsulation layer 5 is tightly attached to the grid electrode layer 4 and the piezoelectric thin film layer 3, thereby forming the thin-film electro-optic modulator.
Fig. 5 shows a flow chart of a preferred method for preparing the lithium niobate thin-film electro-optic modulator, as shown in fig. 5, the method comprises the following steps S101 to S106:
step S101, preparing a waveguide structure on one side surface of the piezoelectric wafer.
In this example, the piezoelectric wafer is a raw material for preparing a piezoelectric thin film layer having the same diameter as that of the piezoelectric wafer, and specifically, the piezoelectric wafer may be a homoconstituent lithium niobate thin film, a near stoichiometric lithium niobate thin film, a doped lithium niobate thin film, or the like.
In this example, before the waveguide structure is prepared, the piezoelectric wafer may be subjected to a pretreatment such as cleaning and polishing, so that the surface of the piezoelectric wafer meets the requirement of preparing the piezoelectric film.
In the present example, the preparation of the waveguide structure on the surface of the piezoelectric wafer includes a photoresist method and a focused ion beam etching method.
This example illustrates a process of preparing a waveguide structure by taking a photoresist method as an example, and specifically, may include the following steps S111 to S116:
and S111, preparing a photoresist structure with a structure complementary with the ridge waveguide on the surface of the piezoelectric wafer.
In this example, the ridge waveguide is a mach-zehnder structure, and therefore, the structure of the photoresist is a structure complementary to the mach-zehnder structure. The mach-zehnder structure is as described above and will not be described in detail herein.
Step S112, plating a metal mask on the surface of the piezoelectric wafer with the photoresist by using an electron beam plating method.
In this example, the metal for preparing the mask is not particularly limited, and may be any one of metals that can be used in the related art for photolithography of piezoelectric materials, for example, chromium (Cr) metal, or other metals that can be used in the related art.
Further, the thickness of the metal mask may be 50nm to 1 μm, and the specific thickness may be specifically set according to the kind of metal used to prepare the mask, the position and width of the metal, and the like.
And S113, removing the photoresist, and leaving a metal mask structure on the surface of the piezoelectric wafer.
The method for removing the photoresist is not particularly limited in this example, and any method for removing the photoresist on the surface of the piezoelectric wafer in the prior art, for example, a method for dissolving the photoresist by using NMP solution (1-methyl-2 pyrrolidone solution) or acetone, etc., may be used.
In this example, the width of the metal mask left on the surface of the piezoelectric wafer is the width of the ridge waveguide.
Step S114, the piezoelectric wafer is etched, and the metal mask structure is transferred to the surface of the piezoelectric wafer.
In this example, the method for etching the piezoelectric wafer is not particularly limited, and any one of the methods of etching a piezoelectric wafer based on a metal mask in the related art, for example, a method of reactive ion etching (ICP), may be employed.
In this example, the depth of the etching may be 100nm to 5 μm, that is, the height of the ridge-type waveguide ridge is about 100nm to 5 μm.
In step S115, the remaining metal mask is removed.
The method for removing the residual metal mask is not particularly limited in this example, and any method of removing the metal mask on the piezoelectric wafer in the prior art may be used.
Step S116, polishing the surface of the ridge waveguide.
In this example, the surface roughness of the ridge waveguide after polishing can be 2nm or less, so that the loss of the signal in the ridge waveguide can be reduced.
In this example, after step S116, the method may further include: SiO is deposited on the surface of the prepared waveguide structure2And performing planarization processing.
The specific implementation of this step is not particularly limited in this example, and any of the prior art techniques may be used to deposit SiO on the waveguide surface2And a method of performing planarization processing.
It will be appreciated that if the waveguide surface is deposited with SiO2Fusing the surface of the waveguide structure with the glaze layer is to fuse SiO2The layer is fused with the glaze layer.
Step S102, preparing a glaze layer on the surface of the substrate material.
In this example, the step may specifically include the following steps S121 and S122:
step 121, coating glaze on the surface of the piezoelectric wafer.
Optionally, the main component of the glaze is silicon dioxide, and the auxiliary materials include: alumina, zinc oxide, ethyl cellulose, the solvent comprises: esters and at least two of ethers, alcohols and hydrocarbon compounds, generally being a mixture of the lipids and other compounds, wherein the lipids can enable the slurry to have good lubricating performance, namely the slurry can be heated more smoothly, the other compounds are gasified in the heating process due to low boiling point, so that air holes are less after heating, wherein based on the total volume of the glaze, the content of the silicon dioxide is 50 g/mL-200 g/mL, the viscosity of the glaze is 50 Pa.s-400 Pa.s, the main component is high-purity silicon dioxide, the melting point of the glaze is lower than that of a piezoelectric wafer, preferably, the glaze can be glass slurry, wherein the sintering temperature of the glass slurry is 470-550 ℃, the fineness of the glass slurry is less than 8 mu m, and the viscosity of the glass slurry is 100 Pa.s-200 Pa.s, so that only the glaze is in a molten state in the process of preparing the composite piezoelectric substrate, the piezoelectric wafer is in a solid state, and the lattice structure of the piezoelectric wafer can be kept unchanged so as to ensure the piezoelectric performance of the composite piezoelectric substrate.
Alternatively, the method for coating the glaze on the surface of the piezoelectric wafer comprises brushing, suspension coating and spraying.
Further, the brushing comprises:
laying a layer of silk screen on the piezoelectric wafer, wherein the thickness of the silk screen is 100-500 μm, the grid of the silk screen can be square, the size of the mesh is 1-20 mm, and the material can be copper or stainless steel;
uniformly brushing a layer of glaze on the surface of the piezoelectric wafer through the silk screen, wherein the thickness of the glaze is less than or equal to that of the silk screen;
and taking down the silk screen.
In the present application, the suspension coating may be any suspension coating method that can use a wafer as an object in the prior art; the spraying can be any one of the suspension coating methods which can take a wafer as an object in the prior art.
And step S122, carrying out planarization treatment and sizing on the glaze.
In this example, the present step may include the following steps S1221 and S1222:
and S1221, heating the piezoelectric wafer coated with the glaze to the volatilization temperature of the glaze solvent, and preserving heat.
And step S1222, continuing to heat to the melting point of the glaze, and cooling after heat preservation.
In this example, after heating to the melting point of the glaze, the glaze was uniformly formed into a film.
Optionally, the glaze layer may be subjected to surface treatment after cooling and solidifying, the surface treatment including grinding and polishing. In the application, after surface treatment, the roughness of the surface of the glaze layer is less than 10nm, so that the upper surface and the lower surface are parallel after the substrate and the upper film are fused.
In this embodiment, the thickness of the glaze layer after melting is 0.1-1000 μm, preferably 1-100 μm, for example, 20-60 μm, so as to provide sufficient support for the piezoelectric wafer.
Step S103, fusing the surface of the waveguide structure with a glaze layer.
In this example, the present step may include the following steps S131 to S134:
step S131, a silicon dioxide layer is prepared on the waveguide structure.
In this example, the present step may include step S1311 and step S1312:
step S1311, preparing a silicon dioxide coating on the waveguide structure, wherein the silicon dioxide coating and the waveguide structure have the same structure.
In this example, the method of forming the silica plating layer on the waveguide structure is not particularly limited, and any method of forming a silica layer on a piezoelectric material, such as evaporation, may be used.
Step S1312, performing planarization on the silica plating layer to make the surface of the silica plating layer be a plane, that is, removing the waveguide structure on the surface of the silica plating layer to form a silica layer.
In this example, the thickness of the silica plating layer is slightly greater than the height of the waveguide structure, so that the waveguide structure can be completely buried in the silica layer after the silica plating layer is planarized, thereby enabling the glaze layer and the piezoelectric thin film layer to be stably bonded.
Step S132, heating the glaze layer to a molten state.
Step S133, bonding the glaze layer and the silica layer.
In this example, after the glaze in a molten state was bonded to the silica layer, 20g/cm of glaze was applied to the bonded body2~20000g/cm2And keeping the pressure at the temperature for 0.5-10 h to ensure that the two are fully combined.
Step S134, cooling the system.
In this example, the cooling rate may be 1-3 ℃/h to allow the combination to be cooled slowly so that the microstructure of each layer is intact and chipping due to quenching is avoided.
After the system is cooled, the glaze layer is welded with the silicon dioxide layer to form a glaze welding layer.
And step S104, thinning the piezoelectric wafer to obtain a piezoelectric film layer.
In this example, the thinning process on the piezoelectric wafer to obtain the piezoelectric thin film layer may include an ion implantation lift-off method and grinding.
For convenience of operation and reduction of production cost, the application preferably adopts grinding method for thinning treatment.
And S105, preparing a grid electrode layer on the surface of the piezoelectric thin film layer.
In this example, the step may specifically include electron beam evaporation coating, magnetron sputtering, ion sputtering, and the like.
The present embodiment is described by taking an electron beam evaporation coating method as an example, and may specifically include the following steps S151 to S153:
step S151, preparing a photoresist structure on the surface of the piezoelectric film in step S104.
In this example, the photoresist structure is a complementary structure to the electrode grid structure.
In this example, the method for producing the photoresist structure is not particularly limited, and any one of the methods for producing a photoresist structure on a piezoelectric thin film in the prior art, for example, an ultraviolet lithography method or an electron beam exposure method, may be employed.
Step S152, plating a metal on the photoresist.
In this example, the metal is a metal electrode, e.g., gold.
Optionally, the metal has a thickness of 100nm to 10 μm.
The width of each metal electrode strip and the distance between adjacent electrode strips are as described above, and are not described herein again.
Step S153, the photoresist is removed.
And removing the photoresist to obtain the metal mask, namely the metal electrode strip.
In this example, the method for removing the photoresist is not particularly limited, and a method of dissolving the photoresist with a solvent such as NMP solution or acetone may be used.
Alternatively, after step S105, a waveguide groove may also be prepared on the piezoelectric thin film layer, and specifically, the following steps S151 'to S155' may be included:
s151', preparing a photoresist on the piezoelectric.
S152', preparing a metal film on the photoresist.
S153', removing the photoresist, and leaving a metal mask structure on the voltage thin film layer.
S154', the piezoelectric film layer is etched, and the metal mask structure is transferred to the piezoelectric film layer.
S155', the metal mask is removed.
Thereby obtaining a waveguide groove, the depth of which can be specifically set as desired.
And S106, preparing an encapsulation layer on the grid electrode layer.
In this example, the encapsulation layer may be a silicon dioxide layer, and optionally, the encapsulation is to prepare a layer of silicon dioxide on the grid electrode layer.
Alternatively, the preparing of the encapsulation layer on the grid electrode layer may include a thermal deposition method, magnetron sputtering, vacuum evaporation ion sputtering, and the like.
In this example, the encapsulation layer uses PECVD to deposit a silicon dioxide layer with a thickness of 1-20 μm on the surface of the grid electrode.
Examples
Example 1
Taking a 4-inch lithium niobate piezoelectric wafer, polishing and cleaning a process surface of the wafer, and preparing a waveguide structure on the process surface;
preparing a silicon dioxide coating on the waveguide structure, and carrying out planarization treatment on the silicon dioxide coating to obtain a silicon dioxide layer, wherein the surface flatness of the silicon dioxide layer is less than 20 nm;
coating a layer of glaze on the surface of a substrate material by adopting a grid method, and flattening the glaze;
fusing a surface of the waveguide structure with a glaze layer;
thinning the lithium niobate wafer in a grinding and polishing mode to obtain a lithium niobate thin film layer on the substrate material;
preparing a grid electrode layer on the surface of the lithium niobate thin film layer in a vapor deposition mode;
and preparing a silicon dioxide packaging layer on the grid electrode layer.
The electro-optical modulator prepared by the method does not need to use lithium niobate thin film raw materials (the market price is about 6000 yuan), and the total cost price of the thin film prepared by the method is about 2500 yuan, so that the production cost is greatly reduced.
Comparative example
Comparative example 1
At present, the lithium niobate thin film electro-optic modulator is in a monopoly stage abroad, and cannot be purchased commercially at home at present, and the cross-sectional structures of the lithium niobate waveguide and the electrode of the foreign Hyperlight thin film electro-optic modulator can be referred to as shown in fig. 1.
The applicant finds that in the traditional scheme, a ridge waveguide is obtained by etching on the basis of a piezoelectric film (taking 4 inches as an example, the price of a lithium niobate film is about 15000 yuan), however, in the etching process of etching the ridge waveguide, the side wall smoothness of the ridge waveguide is insufficient due to inherent errors existing in the process, so that the bad aperture ratio of the thin-film electro-optical modulator is high, and the cost of the piezoelectric film is high and becomes a key factor for limiting the cost of the thin-film electro-optical modulator, so that the cost for preparing the thin-film electro-optical modulator by adopting the traditional scheme is high; according to the method provided by the application, the preparation process is adjusted, the ridge waveguide is etched on the piezoelectric wafer with relatively low cost (taking 4 inches as an example, the price of a single lithium niobate wafer is lower than 1000 yuan), and then the piezoelectric wafer with the ridge waveguide is used for preparing the film, so that the shape of the ridge waveguide can be screened in the first step of product preparation, unqualified products are eliminated, if the shape of the ridge waveguide is unqualified, the ridge waveguide can be removed by polishing and other methods, and the piezoelectric wafer is continuously used for preparing the ridge waveguide again, so that the production cost is further saved.
Secondly, the scheme provided by the application firstly deposits a layer of silicon dioxide on the piezoelectric wafer, fuses the glaze on the substrate material, fuses the piezoelectric wafer and the substrate material together through the silicon dioxide layer and the glaze and forms a stable combined body. Because the piezoelectric film and the deposited silicon dioxide layer directly influence the performance of the device, the selected glaze, namely the glaze welding layer has little influence on the performance of the thin-film electro-optical modulator, namely, the method provided by the application can increase the selectable range of substrate materials and increase the process flexibility.
In addition, the traditional thin-film electro-optical modulator is prepared based on the piezoelectric film, so that the relative position relationship between the metal electrode and the ridge waveguide can only be shown in fig. 1, that is, the metal electrode and the ridge waveguide are positioned on the same side of the piezoelectric film, and therefore, no waveguide groove is prepared in the traditional thin-film electro-optical modulator, but the relative position relationship between the grid electrode and the ridge waveguide in the thin-film electro-optical modulator prepared by the method provided by the application can be shown in fig. 2, that is, the grid electrode and the ridge waveguide are respectively arranged on two sides of the piezoelectric film, so that the ridge waveguide can be further etched, the waveguide groove is obtained, the waveguide has stronger constraint capacity on the electro-optical signal, the signal loss is reduced, and in addition, the grid electrode has a larger parameter change space to obtain wave velocity matching and impedance matching.
The present application has been described in detail with reference to specific embodiments and illustrative examples, but the description is not intended to limit the application. Those skilled in the art will appreciate that various equivalent substitutions, modifications or improvements may be made to the presently disclosed embodiments and implementations thereof without departing from the spirit and scope of the present disclosure, and these fall within the scope of the present disclosure. The protection scope of this application is subject to the appended claims.

Claims (10)

1. The utility model provides a film electro-optical modulator, its characterized in that, electro-optical modulator includes substrate layer (1), frit welded layer (2), piezoelectric thin layer (3), grid electrode layer (4) and encapsulated layer (5) in proper order, wherein, piezoelectric thin layer (3) are formed with ridge type waveguide (6) in one side adjacent with frit welded layer (2), ridge type waveguide (6) with grid electrode layer (4) separated are in the both sides of piezoelectric thin layer (3).
2. Method according to claim 1, characterized in that a silicon dioxide layer (7) is also provided between the frit layer (2) and the piezoelectric film layer (3).
3. The method according to claim 1 or 2, characterized in that a waveguide groove (8) corresponding to the ridge waveguide (6) is arranged on the side surface of the piezoelectric thin film layer (3) adjacent to the grid electrode layer (4), and the width of the waveguide groove (8) is not larger than that of the ridge waveguide (6).
4. A method according to any one of claims 1 to 3, characterized in that the ridge height of the ridge waveguide (6) is between 100nm and 5 μm, preferably between 300nm and 1 μm.
5. A method of producing the lithium niobate thin film electro-optic modulator of any one of claims 1 to 4, comprising:
preparing a waveguide structure on the surface of one side of the piezoelectric wafer;
preparing a glaze layer on the surface of a substrate material;
fusing a surface of the waveguide structure with a glaze layer;
thinning the piezoelectric wafer to obtain a piezoelectric film layer;
preparing a grid electrode layer on the surface of the piezoelectric thin film layer;
and preparing an encapsulation layer on the grid electrode layer.
6. The method of claim 5, wherein the preparing the glaze layer on the surface of the substrate material comprises:
coating glaze on the surface of the piezoelectric wafer;
and flattening and shaping the glaze.
7. The method of claim 5 or 6, wherein the brushing comprises:
laying a layer of silk screen on the piezoelectric wafer;
uniformly brushing a layer of glaze on the surface of the piezoelectric wafer through the silk screen;
and taking down the silk screen.
8. The method according to any one of claims 5 to 7, wherein the step of thinning the piezoelectric wafer to obtain the piezoelectric thin film layer comprises an ion implantation stripping method and grinding and polishing.
9. The method according to any one of claims 5 to 8, wherein the step of preparing the grid electrode layer on the surface of the piezoelectric thin film layer comprises electron beam evaporation coating and magnetron sputtering.
10. The method according to any one of claims 5 to 9, wherein the preparing of the encapsulation layer on the grid electrode layer comprises thermal deposition, magnetron sputtering and vacuum evaporation ion sputtering.
CN202110961560.3A 2021-08-20 2021-08-20 Thin film electro-optic modulator and preparation method thereof Active CN113641011B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202110961560.3A CN113641011B (en) 2021-08-20 2021-08-20 Thin film electro-optic modulator and preparation method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202110961560.3A CN113641011B (en) 2021-08-20 2021-08-20 Thin film electro-optic modulator and preparation method thereof

Publications (2)

Publication Number Publication Date
CN113641011A true CN113641011A (en) 2021-11-12
CN113641011B CN113641011B (en) 2023-08-25

Family

ID=78423111

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202110961560.3A Active CN113641011B (en) 2021-08-20 2021-08-20 Thin film electro-optic modulator and preparation method thereof

Country Status (1)

Country Link
CN (1) CN113641011B (en)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170351027A1 (en) * 2016-06-02 2017-12-07 Ohio State Innovation Foundation Patterned ion-sliced crystal for hybrid integrated photonics
CN111755588A (en) * 2020-06-17 2020-10-09 济南晶正电子科技有限公司 Composite single crystal piezoelectric substrate and preparation method thereof
US20210026167A1 (en) * 2018-03-29 2021-01-28 Sumitomo Osaka Cement Co., Ltd. Optical modulator
US10921682B1 (en) * 2019-08-16 2021-02-16 Kvh Industries, Inc. Integrated optical phase modulator and method of making same
CN112764246A (en) * 2021-01-27 2021-05-07 浙江大学 Thin-film lithium niobate electro-optical modulator and preparation method thereof

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170351027A1 (en) * 2016-06-02 2017-12-07 Ohio State Innovation Foundation Patterned ion-sliced crystal for hybrid integrated photonics
US20210026167A1 (en) * 2018-03-29 2021-01-28 Sumitomo Osaka Cement Co., Ltd. Optical modulator
US10921682B1 (en) * 2019-08-16 2021-02-16 Kvh Industries, Inc. Integrated optical phase modulator and method of making same
CN111755588A (en) * 2020-06-17 2020-10-09 济南晶正电子科技有限公司 Composite single crystal piezoelectric substrate and preparation method thereof
CN112764246A (en) * 2021-01-27 2021-05-07 浙江大学 Thin-film lithium niobate electro-optical modulator and preparation method thereof

Also Published As

Publication number Publication date
CN113641011B (en) 2023-08-25

Similar Documents

Publication Publication Date Title
US10718904B2 (en) Thin-film integration compatible with silicon photonics foundry production
CN109387956B (en) Graphene electro-optic modulator based on slit waveguide
JP2002542511A (en) Electro-optical devices using non-conductive and conductive polymer buffer layers
CN109613632B (en) Adjustable resonant cavity based on flexible surface plasmon coupler and preparation method thereof
Eltes et al. A novel 25 Gbps electro-optic Pockels modulator integrated on an advanced Si photonic platform
US11502428B2 (en) Structure for radio frequency applications
US20210286203A1 (en) Method of fabricating an electro-optical device
CN112379480B (en) Preparation method of waveguide structure composite substrate, composite substrate and photoelectric crystal film
CN111965854B (en) Electro-optic crystal film, preparation method thereof and electro-optic modulator
CN111965856B (en) Electro-optic crystal film, preparation method thereof and electro-optic modulator
CN106842634A (en) Automatically controlled tunable optic filter and preparation method based on three-dimensional micro-ring resonant cavity
EP4067982A1 (en) Electro-optic modulators that include caps for optical confinement
CN111290148A (en) Method for manufacturing modulator with SiO2 substrate formed based on wafer bonding and modulator structure thereof
CN115685598A (en) Waveguide structure with core-spun electro-optic material layer, preparation method and application
CN107305297A (en) Broadband travelling-wave electrooptic modulator based on lithium niobate monocrystal film
CN113641011A (en) Thin film electro-optic modulator and preparation method thereof
JP7226554B2 (en) Plasmonic waveguide and manufacturing method thereof
CN113687554B (en) Thin film optical superlattice waveguide and preparation method thereof
CN111965858B (en) Electro-optic crystal film, preparation method thereof and electro-optic modulator
CN110879488B (en) Novel optical fiber online modulator based on lithium niobate film
CN111736260B (en) Polarizing device and preparation method thereof
CN109375389B (en) Graphene electro-optical modulator and preparation method thereof
CN113900284A (en) Lithium niobate thin film electro-optical modulator and preparation method thereof
EP0704742A2 (en) Optical control device and method for making the same
CN116626923B (en) Waveguide matrix film lithium niobate electro-optic modulator

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant