CN113609626A - Voltage drop violation repairing method and related device - Google Patents

Voltage drop violation repairing method and related device Download PDF

Info

Publication number
CN113609626A
CN113609626A CN202110552141.4A CN202110552141A CN113609626A CN 113609626 A CN113609626 A CN 113609626A CN 202110552141 A CN202110552141 A CN 202110552141A CN 113609626 A CN113609626 A CN 113609626A
Authority
CN
China
Prior art keywords
network
voltage drop
repaired
size
drop violation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN202110552141.4A
Other languages
Chinese (zh)
Other versions
CN113609626B (en
Inventor
解天赐
邱进超
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shandong Yunhai Guochuang Cloud Computing Equipment Industry Innovation Center Co Ltd
Original Assignee
Shandong Yunhai Guochuang Cloud Computing Equipment Industry Innovation Center Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shandong Yunhai Guochuang Cloud Computing Equipment Industry Innovation Center Co Ltd filed Critical Shandong Yunhai Guochuang Cloud Computing Equipment Industry Innovation Center Co Ltd
Priority to CN202110552141.4A priority Critical patent/CN113609626B/en
Publication of CN113609626A publication Critical patent/CN113609626A/en
Application granted granted Critical
Publication of CN113609626B publication Critical patent/CN113609626B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/10Geometric CAD
    • G06F30/18Network design, e.g. design based on topological or interconnect aspects of utility systems, piping, heating ventilation air conditioning [HVAC] or cabling
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2115/00Details relating to the type of the circuit
    • G06F2115/06Structured ASICs

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Computational Mathematics (AREA)
  • Pure & Applied Mathematics (AREA)
  • Mathematical Optimization (AREA)
  • Mathematical Analysis (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

The application discloses a voltage drop violation repairing method, which comprises the following steps: acquiring a network to be repaired according to a preset proportion; carrying out size reduction processing on the network to be repaired according to the process library to obtain a reduced size network; and performing decoupling unit insertion processing on the network with the reduced size to obtain a repaired network. The method comprises the steps of firstly carrying out size reduction processing on an obtained network to be repaired to obtain a reduced-size network, and finally carrying out decoupling unit insertion processing on the reduced-size network to obtain the repaired network, so that the phenomenon of serious congestion caused by excessive Signal Net of a Signal line is avoided, and the effect of voltage drop violation processing is improved. The application also discloses a voltage drop violation repairing device, a computing device and a computer readable storage medium, which have the beneficial effects.

Description

Voltage drop violation repairing method and related device
Technical Field
The present disclosure relates to the field of integrated circuit design technologies, and in particular, to a voltage drop violation repairing method, a voltage drop violation repairing apparatus, a computing device, and a computer-readable storage medium.
Background
With the continuous progress of electronic manufacturing technology, in the integrated circuit design process of field programmable gate arrays, application specific integrated circuits and the like, the characteristic size and interconnection line width of the used standard Cell (Std Cell) are continuously reduced, the circuit integration level is higher and higher, and the voltage drop phenomenon occurs along with the reduction. The existence of the voltage drop can cause the actual voltage of the logic unit to be smaller than the ideal voltage, which causes the conversion Time (Transition) of the logic unit to be increased, the circuit delay to be increased, the Setup Time (Setup Time) and the Hold Time (Hold Time) of the circuit to be influenced, and the logic function error and the failure can be caused in serious cases.
In the related art, the Power network is enhanced to reduce resistance to reduce voltage drop by adding additional Power/Ground nets (Power/Ground interconnect lines) to enhance Power network capability. However, this method is premised on the necessity of an appropriate routing resource, and if the Signal line Signal Net (Signal interconnection line) in this area is too large, the Congestion (Congestion) phenomenon is severe, and there is no appropriate interconnection line resource to provide a position required for Power Net compensation (Power interconnection line), the condition for Power Net compensation cannot be satisfied, and voltage drop processing cannot be performed. The effect of voltage drop violation treatment is reduced, so that other problems caused by voltage drop still influence the performance of the circuit.
Therefore, how to improve the effect of the voltage drop processing is a key issue of attention by those skilled in the art.
Disclosure of Invention
The application aims to provide a voltage drop violation repairing method, a voltage drop violation repairing device, a computing device and a computer-readable storage medium, so as to solve the problem of poor repairing effect in voltage drop violation repairing and improve the voltage drop processing effect.
In order to solve the above technical problem, the present application provides a voltage drop violation repairing method, including:
acquiring a network to be repaired according to a preset proportion;
carrying out size reduction processing on the network to be repaired according to the process library to obtain a reduced size network;
and performing decoupling unit insertion processing on the network with the reduced size to obtain a repaired network.
Optionally, performing decoupling unit insertion processing on the reduced size network to obtain a repaired network, including:
inserting a decoupling unit into the reduced size network according to the size information of the reduced size network to obtain a decoupling processing network;
performing time sequence repairing treatment on the decoupling processing network by using PrimeTime to obtain a Timing ECO script;
and rewinding the decoupling processing network according to the Timing ECO script to obtain the repaired network.
Optionally, inserting a decoupling unit into the reduced-size network according to the size information of the reduced-size network to obtain a decoupling processing network, including:
and inserting a Decap Cell into the reduced-size network according to the size information of the reduced-size network to obtain the decoupling processing network.
Optionally, performing Timing sequence repair processing on the decoupling processing network by using PrimeTime to obtain a Timing ECO script, including:
and executing a fix _ ECO _ Timing operation and a fix _ ECO _ drc operation on the decoupling processing network by using PrimeTime to obtain the Timing ECO script.
Optionally, obtaining the network to be repaired according to a preset ratio includes:
performing voltage drop violation analysis on the target circuit by using Redhawk to obtain all voltage drop violation units;
judging whether the area of the metal interconnection lines in the corresponding areas of all the voltage drop violation units exceeds a preset proportion or not;
and if so, taking the corresponding areas of all the voltage drop violation units as the network to be repaired.
Optionally, the size reduction processing is performed on the network to be repaired according to a process library to obtain a reduced-size network, and the method includes:
and replacing the unit in the network to be repaired with a target unit according to the process library to obtain the network with the reduced size.
Optionally, the method further includes:
judging whether the repaired network has voltage drop violation by adopting Redhawk;
if so, performing voltage drop violation repair on the repaired network;
if not, a repair completion message is sent.
The present application further provides a voltage drop violation repairing apparatus, including:
the network acquisition module is used for acquiring a network to be repaired according to a preset proportion;
the size reduction module is used for carrying out size reduction processing on the network to be repaired according to the process library to obtain a reduced size network;
and the unit insertion module is used for performing decoupling unit insertion processing on the network with the reduced size to obtain a repaired network.
The present application further provides a computing device comprising:
a memory for storing a computer program;
a processor for implementing the steps of the voltage drop violation recovery method as described above when executing the computer program.
The present application also provides a computer readable storage medium having stored thereon a computer program which, when being executed by a processor, carries out the steps of the voltage drop violation fixing method as described above.
The application provides a voltage drop violation repairing method, which comprises the following steps: acquiring a network to be repaired according to a preset proportion; carrying out size reduction processing on the network to be repaired according to the process library to obtain a reduced size network; and performing decoupling unit insertion processing on the network with the reduced size to obtain a repaired network.
The method comprises the steps of firstly carrying out size reduction processing on an obtained network to be repaired to obtain a reduced-size network, and finally carrying out decoupling unit insertion processing on the reduced-size network to obtain the repaired network, so that the phenomenon of serious congestion caused by excessive Signal Net of a Signal line is avoided, and the effect of voltage drop violation processing is improved.
The application also provides a voltage drop violation repairing device, a computing device and a computer readable storage medium, which have the above beneficial effects and are not described herein again.
Drawings
In order to more clearly illustrate the embodiments of the present application or the technical solutions in the prior art, the drawings needed to be used in the description of the embodiments or the prior art will be briefly introduced below, it is obvious that the drawings in the following description are only embodiments of the present application, and for those skilled in the art, other drawings can be obtained according to the provided drawings without creative efforts.
FIG. 1 is a flowchart illustrating a voltage drop violation recovery method according to an embodiment of the present disclosure;
FIG. 2 is a schematic diagram illustrating a size reduction of a voltage drop violation recovery method according to an embodiment of the present application;
fig. 3 is a schematic structural diagram of a voltage drop violation repairing apparatus according to an embodiment of the present application.
Detailed Description
The core of the application is to provide a voltage drop violation repairing method, a voltage drop violation repairing device, a computing device and a computer readable storage medium, so as to solve the problem of poor repairing effect in voltage drop violation repairing and improve the voltage drop processing effect.
In order to make the objects, technical solutions and advantages of the embodiments of the present application clearer, the technical solutions in the embodiments of the present application will be clearly and completely described below with reference to the drawings in the embodiments of the present application, and it is obvious that the described embodiments are some embodiments of the present application, but not all embodiments. All other embodiments, which can be derived by a person skilled in the art from the embodiments given herein without making any creative effort, shall fall within the protection scope of the present application.
In the related art, the Power network capability is enhanced by supplementing Power/Ground Net (Power/Ground interconnection line), and the voltage drop is reduced by reducing resistance by enhancing the Power network. However, this method requires the presence of appropriate routing resources, and if the number of Signal lines Signal Net (Signal interconnection lines) in the area is too large, the Congestion phenomenon is severe, and there is no appropriate interconnection line resource to provide a position required for Power Net compensation, the condition for Power Net compensation cannot be satisfied. The effect of voltage drop violation treatment is reduced, so that other problems caused by voltage drop still influence the performance of the circuit.
Therefore, the voltage drop violation repairing method includes the steps of firstly conducting size reduction processing on an obtained network to be repaired to obtain a reduced-size network, and finally conducting decoupling unit insertion processing on the reduced-size network to obtain a repaired network, so that the phenomenon of serious congestion caused by excessive Signal Net is avoided, and the effect of voltage drop violation processing is improved.
The following describes a voltage drop violation repairing method provided by the present application by an embodiment.
Referring to fig. 1, fig. 1 is a flowchart illustrating a voltage drop violation repairing method according to an embodiment of the present disclosure.
In this embodiment, the method may include:
s101, acquiring a network to be repaired according to a preset proportion;
the step aims to obtain the network to be repaired according to a preset proportion. The network to be repaired is a circuit network with a voltage drop violation problem.
The network to be repaired may be an area where a cell with a voltage drop violation exists in the complete circuit network. Wherein the region may be a region surrounded by cells according to the voltage drop violation.
The preset ratio may be set to different ratio values according to actual conditions, may also be set according to operation experiences, and may also be set according to an integration level of a circuit, which is not specifically limited herein. Specifically, the preset ratio may be set to 30%.
Further, the step may include:
step 1, performing voltage drop violation analysis on a target circuit by adopting Redhawk to obtain all voltage drop violation units;
step 2, judging whether the area of the metal interconnection lines in the corresponding areas of all the voltage drop violation units exceeds a preset proportion or not;
and 3, if so, taking the corresponding areas of all the voltage drop violation units as the network to be repaired.
Therefore, the step mainly explains how to acquire the network to be repaired. In the alternative scheme, firstly, rednawk is adopted to analyze voltage drop violation of a target circuit to obtain all voltage drop violation units; then, judging whether the area of the metal interconnection lines in the corresponding areas of all the voltage drop violation units exceeds a preset proportion or not; and finally, if so, taking the corresponding areas of all the voltage drop violation units as the network to be repaired. By the alternative scheme, the network can be screened according to the area proportion of the metal interconnection line, and the network with the larger area of the metal interconnection line is determined to be used as the network to be repaired.
Where redlawk is a voltage drop analysis tool.
S102, carrying out size reduction processing on the network to be repaired according to the process library to obtain a reduced size network;
on the basis of S101, the step aims to perform size reduction processing on the network to be repaired according to the process library, so as to obtain a reduced-size network. The size reduction processing on the network to be repaired can be realized by replacing the unit in the network to be repaired with a unit with a smaller size.
Further, the step may include:
and replacing the unit in the network to be repaired with the target unit according to the process library to obtain the network with the reduced size.
It can be seen that the present alternative is primarily illustrative of how size reduction may be performed. In the alternative scheme, the units in the network to be repaired are replaced by target units according to the process library to obtain the network with the reduced size.
S103, carrying out decoupling unit insertion processing on the network with the reduced size to obtain a repaired network.
On the basis of S102, this step aims to perform decoupling unit insertion processing on the reduced size network, resulting in a repaired network.
The insertion process of the decoupling unit can be to insert the corresponding coupling unit into the network with reduced size so as to remove the dynamic voltage drop of the circuit network.
Further, the step may include:
step 1, inserting a decoupling unit into a network with reduced size according to size information of the network with reduced size to obtain a decoupling processing network;
step 2, adopting PrimeTime to carry out time sequence repair processing on the decoupling processing network to obtain a Timing ECO script;
and 3, rewinding the decoupling processing network according to the Timing ECO script to obtain the repaired network.
It can be seen that this alternative is primarily illustrative of how the decoupling process may be performed. In the alternative, firstly, a decoupling unit is inserted into the network with the reduced size according to the size information of the network with the reduced size to obtain a decoupling processing network; then, performing time sequence repair processing on the decoupling processing network by using PrimeTime to obtain a Timing ECO script; and finally, rewinding the decoupling processing network according to the Timing ECO script to obtain the repaired network.
Where PrimeTime is a timing closure tool. The Timing ECO script refers to script data for modifying and Timing sequence convergence of a circuit network.
Further, step 1 in the last alternative may include:
and inserting a Decap Cell into the reduced-size network according to the size information of the reduced-size network to obtain a decoupling processing network.
It can be seen that with this alternative, Decap cells can be inserted for reduced size networks. Wherein, the Filler Cell is a filling unit, and the Decap Cell is a decoupling unit.
Further, step 2 in the last alternative may include:
and (3) adopting PrimeTime to execute a fix _ ECO _ Timing operation and a fix _ ECO _ drc operation on the decoupling processing network to obtain a Timing ECO script.
The purpose of the fix _ eco _ timing operation and the fix _ eco _ drc operation is to perform a corresponding timing convergence operation on the circuit network.
Further, the present embodiment may include:
step 1, judging whether a repaired network has voltage drop violation by adopting Redhawk;
step 2, if yes, performing voltage drop violation repair on the repaired network;
and 3, if not, sending a repair completion message.
It can be seen that the present alternative scheme mainly illustrates that it is also possible to determine whether the repair is completed for the repaired network. In the alternative scheme, Redhawk is adopted to judge whether the repaired network has voltage drop violation; if so, performing voltage drop violation repair on the repaired network; if not, a repair completion message is sent.
In summary, in the embodiment, the obtained network to be repaired is firstly subjected to size reduction processing to obtain a reduced-size network, and finally, decoupling unit insertion processing is performed on the reduced-size network to obtain a repaired network, so that a phenomenon of serious congestion caused by excessive Signal lines Net is avoided, and the effect of voltage drop violation processing is improved.
The following further describes a voltage drop violation repairing method provided by the present application with a specific embodiment.
The present embodiment mainly aims at that the IR Drop violation occurs in an area where both Cell Density (standard Cell Density) and Congestion are high, and the IR Drop violation is repaired by using a PrimeTime tool. Firstly, determining whether the percentage of wired resources in the violation area exceeds a threshold value and cannot supplement a power supply network, secondly, reducing the area series of the violation Cell (unit) to ensure that the minimum Decap Cell in a process library can be inserted, and finally eliminating the influence on the time sequence and DRC (design Rule Spacing check) aspects on the basis of repairing voltage drop.
The detailed steps are as follows:
step 1, analyzing the area of the IR Drop violation cell.
After analysis by the rednawk tool, a list of all voltage drop violations cells is obtained. And (2) reversely marking the Cell List back to a PR (layout and routing) tool, determining the area where the high-density Cell is located, giving the coordinate positions of all cells in the area, respectively marking the coordinate positions as (Xj, Yj), and sequentially comparing to obtain the maximum value and the minimum value of the Cell coordinates Xj and Yj, so that the coordinates of (Xmin, Ymin) and (Xmax, Ymax) form a rectangular area, and in order to ensure the margin of the routing area, 10um can be expanded outside the rectangular area to be used as a final rectangular area, thereby ensuring that the high-density Cell area is in the rectangular area.
And 2, judging whether the percentage of the total area occupied by the wired interconnection lines in the area exceeds a threshold value of 30 percent.
Generally, Cell Density is too high and winding resources are relatively tight, but not absolute. Therefore, whether the routing resources are tight can be reflected by the occupancy of the interconnection lines on the metal layer. When the area of the interconnection line in a certain area accounts for more than 30% of the area, it indicates that no sufficient winding resources are available for the operation of the supplementary power network.
Selecting Pitch of a middle metal layer Power Mesh as the length L-Pitch of another rectangle, taking the value of Ymax-Ymin in the step 1 as the width W of the rectangle, and ensuring that the rectangle is positioned in the rectangular area in the step 1. When the metal layer is fully distributed with the interconnection lines, the percentage R of the area occupied by the interconnection lines in the area is as follows:
Figure RE-GDA0003241210770000081
wherein WtrackIs the width, W, of the metal layer Trackmetal_signalIs the metal interconnect line width. W is the DRC Spacing Rule that PR tool follows when making wiringtrackWill then be Wmetal_signal2 times the area of the interconnect line, so that when the metal layer is completely filled with the interconnect line, the area of the interconnect line occupies 50% of the area of the region. In summary, when the Power Mesh exists in the region, the area of the interconnection line must be smaller than that of the region 50%。
When the Power supply network is supplemented in the rectangular area, because the left and right boundaries of the area are PowerMesh, when the Power supply network is supplemented, at least one pair of PowerMesh can be inserted in the area. For a pair of Power Mesh insertion thresholds, the interconnect area accounts for the percentage R of the regionthresholdComprises the following steps:
Figure RE-GDA0003241210770000082
wherein N istrack_powerThe number of tracks occupied by a pair of Power Mesh should include considerations of Spacing DRC (design rule Spacing check), KfactorThe probability that the interconnection line can be completely distributed on one Track is 40 percent. Therefore, the method can be used to calculate the ratio of the area of the interconnection lines existing in the metal layer in a high cell density region to determine whether the routing resources are sufficient, and if the routing resources are insufficient, the following steps can be performed.
And step 3, performing Sizedown (size reduction) processing on the Cell.
And (4) acquiring the cells in the area of the step 2 and organizing the cells _ list. Since the Cell Density of the area is high, when the PR tool performs layout (Placement), the cells are gathered in a certain area due to close logic interaction, which indicates that the time sequence of the area is tight. Therefore, if the PrimeTime tool is used to perform the "fix _ eco _ power" operation, the Sizedown operation cannot be guaranteed because there is no margin in timing. Therefore, the Cell needs to be manually reduced in area, uniformly reduced in an area series, and stored again as a Cell List, so that the voltage drop violation is preferably repaired.
Under the same process library, the difference value between the Sizedown area series and the Decap Cell transverse dimension is certain to be integral multiple of the site, and if the position is remained, the Filler Cell can be added to ensure that the DRC violation caused by the disconnection of the Base layer in the area can not occur. For example, there are 7 different Decap _ cells in a certain process library, namely DCAPX5, DCAPX6, DCAPX8, DCAPX16, DCAPX32, DCAPX64 and DCAPX128, and the larger the number is, the larger the corresponding area is, the more the lateral dimension in the design is occupied. The transverse sizes of the several Decap _ Cells are measured respectively, and the number of stages for area reduction of the Cell List is determined according to the sizes. Generally, a Decap Cell with a smaller transverse size is selected as a standard for area reduction, and the transverse size of the inserted Decap Cell is smaller, which indicates that the action of area reduction on a Cell List is small, and avoids the situation that the area reduction size is larger and the current Cell area is not satisfied. Taking the library as an example, the minimum area X5 type Decap _ cell transverse dimension is 0.42um, and the transverse dimension information of a typical two-input nand gate under different areas is shown in table 1 below.
TABLE 1 transverse dimension data of two-input NAND gates of different areas under certain process
SC9T_ND2X? X1 X2 X4 X8 X12
LENGTH(um) 0.42 0.504 0.924 1.764 2.604
When the Cell in the area is uniformly reduced by an area series, the area of a two-input NAND gate is reduced from an X2 type Sizedown to an X1 type, the transverse size is reduced by 0.084um, which is just the numerical value of one Site (position) in the design, and the reduced position can be filled by adding a Filler Cell, so that DRC violation caused by missing of a Base layer in the circuit design due to area reduction is avoided; when the area of the two-input NAND gate is changed from X4 type Sizedown to X2 type, the transverse dimension is reduced by 0.42um, and a Decap Cell of X5 type can be just inserted at the reduced position; when the two-input NAND gate is changed from X8 type Sizedown to X4 type, the lateral dimension is reduced by 0.84um, and 2 Decap cells of X5 type can be inserted at the reduced position. For a two-input NAND gate Sizedown with an area progression, the corresponding position can be filled with the minimum type Decap cell.
Referring to fig. 2, fig. 2 is a schematic diagram illustrating a size reduction of a voltage drop violation repairing method according to an embodiment of the present application.
And 4, repairing the introduced time sequence violation.
After the area reduction action, the driving of the Cell decreases with the decrease of the area, resulting in an increase of the Cell Delay, and therefore Timing and DRC violations may occur. Formatting the Cell List stored after the area reduction in the step 3, setting the Cell as a variable "cells", storing the variable "cells" in the operation directory of the tool, and naming the variable as a "Cell _ List" file. The file is imported into a PrimeTime tool environment, and a variable 'cells' is set as a 'donttouch' (unchangeable) attribute, so that new actions on the imported Cell List in the time sequence repairing process are avoided, and no influence on area reduction actions in the Cell List is ensured. And finally, performing the operations of 'fix _ eco _ timing' and 'fix _ eco _ drc', and repairing the timing violation introduced during the area reduction.
And 5, importing a PR tool to perform winding and recheck the IR Drop.
Importing the Timing ECO script generated in the steps into a PR tool, resetting the Place (position) and Route (line) of the Cell, updating the file format (design _ exchange _ format, design exchange format), the Spef and Timing file (time sequence file) files, entering the Redhakw tool again for re-checking, and determining whether the IR Drop visualization (voltage Drop Violation) is repaired.
Therefore, in the embodiment, the obtained network to be repaired is firstly subjected to size reduction processing to obtain the network with the reduced size, and finally the network with the reduced size is subjected to decoupling unit insertion processing to obtain the repaired network, so that the phenomenon of congestion caused by excessive Signal lines Net is avoided, and the effect of voltage drop violation processing is improved.
In the following, the voltage drop violation repairing apparatus provided by the embodiment of the present application is introduced, and the voltage drop violation repairing apparatus described below and the voltage drop violation repairing method described above may be referred to correspondingly.
Referring to fig. 3, fig. 3 is a schematic structural diagram of a voltage drop violation repairing apparatus according to an embodiment of the present application.
In this embodiment, the apparatus may include:
a network obtaining module 100, configured to obtain a network to be repaired according to a preset ratio;
the size reduction module 200 is configured to perform size reduction processing on the network to be repaired according to the process library to obtain a reduced-size network;
the unit insertion module 300 is configured to perform decoupling unit insertion processing on the reduced size network to obtain a repaired network.
Optionally, the unit insertion module 300 may include:
a decoupling unit inserting unit for inserting a decoupling unit into the reduced size network according to the size information of the reduced size network to obtain a decoupling processing network;
the time sequence repairing unit is used for performing time sequence repairing treatment on the decoupling processing network by adopting PrimeTime to obtain a Timing ECO script;
and the rewinding unit is used for rewinding the decoupling processing network according to the Timing ECO script to obtain a repaired network.
Optionally, the decoupling unit inserting unit is specifically configured to insert a Decap Cell into the reduced-size network according to the size information of the reduced-size network, so as to obtain a decoupling processing network.
Optionally, the Timing recovery unit is specifically configured to perform a fix _ ECO _ Timing operation and a fix _ ECO _ drc operation on the decoupling processing network by using PrimeTime to obtain a Timing ECO script.
Optionally, the network acquiring module 100 may include:
the violation analysis unit is used for performing voltage drop violation analysis on the target circuit by adopting Redhawk to obtain all voltage drop violation units;
the proportion judging unit is used for judging whether the area of the metal interconnection lines in the corresponding areas of all the voltage drop violation units exceeds a preset proportion or not;
and the area determining unit is used for taking the corresponding areas of all the voltage drop violation units as the network to be repaired when the area of the metal interconnection line exceeds a preset proportion.
Optionally, the size reduction module 200 is specifically configured to replace a cell in the network to be repaired with a target cell according to the process library, so as to obtain a reduced-size network.
Optionally, the apparatus may further include: the detection module is used for judging whether the repaired network has voltage drop violation by adopting Redhawk; if so, performing voltage drop violation repair on the repaired network; if not, a repair completion message is sent.
An embodiment of the present application further provides a computing device, including:
a memory for storing a computer program;
a processor for implementing the steps of the voltage drop violation recovery method as described in the above embodiments when executing the computer program.
Embodiments of the present application further provide a computer-readable storage medium, on which a computer program is stored, where the computer program, when executed by a processor, implements the steps of the voltage drop violation repairing method according to the above embodiments.
The embodiments are described in a progressive manner in the specification, each embodiment focuses on differences from other embodiments, and the same and similar parts among the embodiments are referred to each other. The device disclosed by the embodiment corresponds to the method disclosed by the embodiment, so that the description is simple, and the relevant points can be referred to the method part for description.
Those of skill would further appreciate that the various illustrative elements and algorithm steps described in connection with the embodiments disclosed herein may be implemented as electronic hardware, computer software, or combinations of both, and that the various illustrative components and steps have been described above generally in terms of their functionality in order to clearly illustrate this interchangeability of hardware and software. Whether such functionality is implemented as hardware or software depends upon the particular application and design constraints imposed on the implementation. Skilled artisans may implement the described functionality in varying ways for each particular application, but such implementation decisions should not be interpreted as causing a departure from the scope of the present application.
The steps of a method or algorithm described in connection with the embodiments disclosed herein may be embodied directly in hardware, in a software module executed by a processor, or in a combination of the two. A software module may reside in Random Access Memory (RAM), memory, Read Only Memory (ROM), electrically programmable ROM, electrically erasable programmable ROM, registers, hard disk, a removable disk, a CD-ROM, or any other form of storage medium known in the art.
A voltage drop violation repairing method, a voltage drop violation repairing apparatus, a computing device, and a computer-readable storage medium provided by the present application are described in detail above. The principles and embodiments of the present application are explained herein using specific examples, which are provided only to help understand the method and the core idea of the present application. It should be noted that, for those skilled in the art, it is possible to make several improvements and modifications to the present application without departing from the principle of the present application, and such improvements and modifications also fall within the scope of the claims of the present application.

Claims (10)

1. A method for voltage drop violation recovery, comprising:
acquiring a network to be repaired according to a preset proportion;
carrying out size reduction processing on the network to be repaired according to the process library to obtain a reduced size network;
and performing decoupling unit insertion processing on the network with the reduced size to obtain a repaired network.
2. The method of voltage drop violation recovery according to claim 1, wherein performing a decoupling unit insertion process on the reduced size network to obtain a recovered network comprises:
inserting a decoupling unit into the reduced size network according to the size information of the reduced size network to obtain a decoupling processing network;
performing time sequence repairing treatment on the decoupling processing network by using PrimeTime to obtain a Timing ECO script;
and rewinding the decoupling processing network according to the Timing ECO script to obtain the repaired network.
3. The method according to claim 2, wherein inserting a decoupling unit into the reduced-size network according to the size information of the reduced-size network to obtain a decoupling processing network comprises:
and inserting a Decap Cell into the reduced-size network according to the size information of the reduced-size network to obtain the decoupling processing network.
4. The method for repairing voltage drop violation according to claim 2, wherein performing Timing sequence repair processing on the decoupling processing network by using PrimeTime to obtain a Timing ECO script, comprises:
and executing a fix _ ECO _ Timing operation and a fix _ ECO _ drc operation on the decoupling processing network by using PrimeTime to obtain the Timing ECO script.
5. The method for repairing voltage drop violation according to claim 1, wherein obtaining the network to be repaired according to a preset ratio comprises:
performing voltage drop violation analysis on the target circuit by using Redhawk to obtain all voltage drop violation units;
judging whether the area of the metal interconnection lines in the corresponding areas of all the voltage drop violation units exceeds a preset proportion or not;
and if so, taking the corresponding areas of all the voltage drop violation units as the network to be repaired.
6. The method according to claim 1, wherein performing a size reduction process on the network to be repaired according to a process library to obtain a reduced-size network comprises:
and replacing the unit in the network to be repaired with a target unit according to the process library to obtain the network with the reduced size.
7. The voltage drop violation recovery method of claim 1, further comprising:
judging whether the repaired network has voltage drop violation by adopting Redhawk;
if so, performing voltage drop violation repair on the repaired network;
if not, a repair completion message is sent.
8. A voltage drop violation remediation device, comprising:
the network acquisition module is used for acquiring a network to be repaired according to a preset proportion;
the size reduction module is used for carrying out size reduction processing on the network to be repaired according to the process library to obtain a reduced size network;
and the unit insertion module is used for performing decoupling unit insertion processing on the network with the reduced size to obtain a repaired network.
9. A computing device, comprising:
a memory for storing a computer program;
a processor for implementing the steps of the voltage drop violation recovery method according to any one of claims 1 to 7 when executing said computer program.
10. A computer-readable storage medium, having stored thereon a computer program which, when being executed by a processor, carries out the steps of the voltage drop violation remediation method according to any one of claims 1-7.
CN202110552141.4A 2021-05-20 2021-05-20 Voltage drop violation repairing method and related device Active CN113609626B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202110552141.4A CN113609626B (en) 2021-05-20 2021-05-20 Voltage drop violation repairing method and related device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202110552141.4A CN113609626B (en) 2021-05-20 2021-05-20 Voltage drop violation repairing method and related device

Publications (2)

Publication Number Publication Date
CN113609626A true CN113609626A (en) 2021-11-05
CN113609626B CN113609626B (en) 2023-09-15

Family

ID=78303392

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202110552141.4A Active CN113609626B (en) 2021-05-20 2021-05-20 Voltage drop violation repairing method and related device

Country Status (1)

Country Link
CN (1) CN113609626B (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115577675A (en) * 2022-11-23 2023-01-06 飞腾信息技术有限公司 Time sequence violation repairing method and device, server and readable storage medium
CN117892681A (en) * 2024-03-15 2024-04-16 北京壁仞科技开发有限公司 Method for repairing voltage drop, electronic device, storage medium and program product
CN118095186A (en) * 2024-04-23 2024-05-28 北京壁仞科技开发有限公司 Method and device for repairing antenna effect violations

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5654898A (en) * 1993-05-10 1997-08-05 Cascade Design Automation Corporation Timing-driven integrated circuit layout through device sizing
US20040145855A1 (en) * 2000-10-12 2004-07-29 Block Bruce A. On-chip decoupling capacitor and method of making same
US20080127020A1 (en) * 2006-10-25 2008-05-29 Dan Rittman System and method for automatic elimination of voltage drop, also known as IR drop, violations of a mask layout block, maintaining the process design rules correctness
CN101872377A (en) * 2010-06-12 2010-10-27 清华大学 Method for restraining integrated circuit electricity supply network noise by using decoupling capacitance
WO2012127784A1 (en) * 2011-03-24 2012-09-27 ルネサスエレクトロニクス株式会社 Power supply wiring layout method for semiconductor integrated circuit and power supply wiring layout device
CN103632001A (en) * 2013-11-27 2014-03-12 中国人民解放军国防科学技术大学 Retention time sequence optimization method based on multiplexing of buffer unit
US20150198665A1 (en) * 2014-01-10 2015-07-16 Stmicroelectronics International N.V. System and method for reducing voltage drop during automatic testing of integrated circuits
US20170004239A1 (en) * 2015-07-01 2017-01-05 International Business Machines Corporation De-coupling capacitance placement
CN111931448A (en) * 2020-08-07 2020-11-13 山东云海国创云计算装备产业创新中心有限公司 Time sequence repairing method and system for chip circuit, electronic equipment and storage medium
CN112100959A (en) * 2020-09-21 2020-12-18 Oppo广东移动通信有限公司 EDA (electronic design automation) tool-based time sequence analysis method and device and storage medium
CN112115676A (en) * 2020-09-29 2020-12-22 天津飞腾信息技术有限公司 Static voltage drop repairing method, device, equipment and storage medium

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5654898A (en) * 1993-05-10 1997-08-05 Cascade Design Automation Corporation Timing-driven integrated circuit layout through device sizing
US20040145855A1 (en) * 2000-10-12 2004-07-29 Block Bruce A. On-chip decoupling capacitor and method of making same
US20080127020A1 (en) * 2006-10-25 2008-05-29 Dan Rittman System and method for automatic elimination of voltage drop, also known as IR drop, violations of a mask layout block, maintaining the process design rules correctness
CN101872377A (en) * 2010-06-12 2010-10-27 清华大学 Method for restraining integrated circuit electricity supply network noise by using decoupling capacitance
WO2012127784A1 (en) * 2011-03-24 2012-09-27 ルネサスエレクトロニクス株式会社 Power supply wiring layout method for semiconductor integrated circuit and power supply wiring layout device
CN103632001A (en) * 2013-11-27 2014-03-12 中国人民解放军国防科学技术大学 Retention time sequence optimization method based on multiplexing of buffer unit
US20150198665A1 (en) * 2014-01-10 2015-07-16 Stmicroelectronics International N.V. System and method for reducing voltage drop during automatic testing of integrated circuits
US20170004239A1 (en) * 2015-07-01 2017-01-05 International Business Machines Corporation De-coupling capacitance placement
US20170004248A1 (en) * 2015-07-01 2017-01-05 International Business Machines Corporation De-coupling capacitance placement
CN111931448A (en) * 2020-08-07 2020-11-13 山东云海国创云计算装备产业创新中心有限公司 Time sequence repairing method and system for chip circuit, electronic equipment and storage medium
CN112100959A (en) * 2020-09-21 2020-12-18 Oppo广东移动通信有限公司 EDA (electronic design automation) tool-based time sequence analysis method and device and storage medium
CN112115676A (en) * 2020-09-29 2020-12-22 天津飞腾信息技术有限公司 Static voltage drop repairing method, device, equipment and storage medium

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN115577675A (en) * 2022-11-23 2023-01-06 飞腾信息技术有限公司 Time sequence violation repairing method and device, server and readable storage medium
CN115577675B (en) * 2022-11-23 2023-03-07 飞腾信息技术有限公司 Time sequence violation repairing method and device, server and readable storage medium
CN117892681A (en) * 2024-03-15 2024-04-16 北京壁仞科技开发有限公司 Method for repairing voltage drop, electronic device, storage medium and program product
CN118095186A (en) * 2024-04-23 2024-05-28 北京壁仞科技开发有限公司 Method and device for repairing antenna effect violations

Also Published As

Publication number Publication date
CN113609626B (en) 2023-09-15

Similar Documents

Publication Publication Date Title
CN113609626B (en) Voltage drop violation repairing method and related device
CN112214960B (en) Redundant metal filling method and system considering integrated circuit time sequence
US9740815B2 (en) Electromigration-aware integrated circuit design methods and systems
CN112966465B (en) Circuit simulation method and device
US8219959B2 (en) Generating integrated circuit floorplan layouts
US20120066654A1 (en) Stability-dependent spare cell insertion
WO2022041972A1 (en) Chip design method and design apparatus, computer device, and storage medium
JP2009526276A (en) Method and apparatus for inserting a filling mold into a design layout
CN117094276A (en) Timing sequence path repairing method and device, electronic equipment and storage medium
DE112013005833T5 (en) Analysis of timing constraints to control optimization with useful offset
US20030074642A1 (en) Clock skew verification methodology for grid-based design
CN105868438A (en) Methods for redistributing cell densities and computer
CN107315863B (en) Layout optimization method and device, terminal and storage medium
CN109710980A (en) Note Auditing processing method, device, computer equipment and storage medium
JP2006190062A (en) Floor plan method and computing system for semiconductor integrated circuit
CN110555233A (en) circuit connection storage method, device and storage medium
JP2008310527A (en) Layout design device and layout design method for semiconductor integrated circuit
CN116976272B (en) Integrated circuit design optimization wiring method, electronic equipment and storage medium
US7076759B2 (en) Methodology for generating a modified view of a circuit layout
TWI792882B (en) Optimizing method and optimizing device for integrated circuit layout based on power rail and power supply domain
US20030074175A1 (en) Simulation by parts method for grid-based clock distribution design
CN114818604B (en) Method and device for correcting short-circuit defects on digital layout
US20230023317A1 (en) Systems and Methods for Providing A Dynamic High Voltage Circuit Design Workflow
Roy et al. Solving modern mixed-size placement instances
CN115587569B (en) Method, system and storage medium for checking design rule of chip layout

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant