CN113520401B - 心电信号的分类方法和装置 - Google Patents

心电信号的分类方法和装置 Download PDF

Info

Publication number
CN113520401B
CN113520401B CN202110679932.3A CN202110679932A CN113520401B CN 113520401 B CN113520401 B CN 113520401B CN 202110679932 A CN202110679932 A CN 202110679932A CN 113520401 B CN113520401 B CN 113520401B
Authority
CN
China
Prior art keywords
wave peak
preset
heart rate
initial
electrocardiosignal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202110679932.3A
Other languages
English (en)
Other versions
CN113520401A (zh
Inventor
阿布巴卡
姜汉钧
尹说
王志华
张春
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tsinghua University
Original Assignee
Tsinghua University
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tsinghua University filed Critical Tsinghua University
Priority to CN202110679932.3A priority Critical patent/CN113520401B/zh
Publication of CN113520401A publication Critical patent/CN113520401A/zh
Application granted granted Critical
Publication of CN113520401B publication Critical patent/CN113520401B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61BDIAGNOSIS; SURGERY; IDENTIFICATION
    • A61B5/00Measuring for diagnostic purposes; Identification of persons
    • A61B5/24Detecting, measuring or recording bioelectric or biomagnetic signals of the body or parts thereof
    • A61B5/316Modalities, i.e. specific diagnostic methods
    • A61B5/318Heart-related electrical modalities, e.g. electrocardiography [ECG]
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61BDIAGNOSIS; SURGERY; IDENTIFICATION
    • A61B5/00Measuring for diagnostic purposes; Identification of persons
    • A61B5/02Detecting, measuring or recording pulse, heart rate, blood pressure or blood flow; Combined pulse/heart-rate/blood pressure determination; Evaluating a cardiovascular condition not otherwise provided for, e.g. using combinations of techniques provided for in this group with electrocardiography or electroauscultation; Heart catheters for measuring blood pressure
    • A61B5/024Detecting, measuring or recording pulse rate or heart rate
    • A61B5/02405Determining heart rate variability
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61BDIAGNOSIS; SURGERY; IDENTIFICATION
    • A61B5/00Measuring for diagnostic purposes; Identification of persons
    • A61B5/02Detecting, measuring or recording pulse, heart rate, blood pressure or blood flow; Combined pulse/heart-rate/blood pressure determination; Evaluating a cardiovascular condition not otherwise provided for, e.g. using combinations of techniques provided for in this group with electrocardiography or electroauscultation; Heart catheters for measuring blood pressure
    • A61B5/024Detecting, measuring or recording pulse rate or heart rate
    • A61B5/0245Detecting, measuring or recording pulse rate or heart rate by using sensing means generating electric signals, i.e. ECG signals
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61BDIAGNOSIS; SURGERY; IDENTIFICATION
    • A61B5/00Measuring for diagnostic purposes; Identification of persons
    • A61B5/24Detecting, measuring or recording bioelectric or biomagnetic signals of the body or parts thereof
    • A61B5/316Modalities, i.e. specific diagnostic methods
    • A61B5/318Heart-related electrical modalities, e.g. electrocardiography [ECG]
    • A61B5/346Analysis of electrocardiograms
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61BDIAGNOSIS; SURGERY; IDENTIFICATION
    • A61B5/00Measuring for diagnostic purposes; Identification of persons
    • A61B5/24Detecting, measuring or recording bioelectric or biomagnetic signals of the body or parts thereof
    • A61B5/316Modalities, i.e. specific diagnostic methods
    • A61B5/318Heart-related electrical modalities, e.g. electrocardiography [ECG]
    • A61B5/346Analysis of electrocardiograms
    • A61B5/349Detecting specific parameters of the electrocardiograph cycle
    • A61B5/352Detecting R peaks, e.g. for synchronising diagnostic apparatus; Estimating R-R interval
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61BDIAGNOSIS; SURGERY; IDENTIFICATION
    • A61B5/00Measuring for diagnostic purposes; Identification of persons
    • A61B5/72Signal processing specially adapted for physiological signals or for diagnostic purposes
    • A61B5/7203Signal processing specially adapted for physiological signals or for diagnostic purposes for noise prevention, reduction or removal
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61BDIAGNOSIS; SURGERY; IDENTIFICATION
    • A61B5/00Measuring for diagnostic purposes; Identification of persons
    • A61B5/72Signal processing specially adapted for physiological signals or for diagnostic purposes
    • A61B5/7225Details of analog processing, e.g. isolation amplifier, gain or sensitivity adjustment, filtering, baseline or drift compensation
    • AHUMAN NECESSITIES
    • A61MEDICAL OR VETERINARY SCIENCE; HYGIENE
    • A61BDIAGNOSIS; SURGERY; IDENTIFICATION
    • A61B5/00Measuring for diagnostic purposes; Identification of persons
    • A61B5/72Signal processing specially adapted for physiological signals or for diagnostic purposes
    • A61B5/7235Details of waveform analysis
    • A61B5/7264Classification of physiological signals or data, e.g. using neural networks, statistical classifiers, expert systems or fuzzy systems

Landscapes

  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Cardiology (AREA)
  • Physics & Mathematics (AREA)
  • Surgery (AREA)
  • Veterinary Medicine (AREA)
  • Biophysics (AREA)
  • Biomedical Technology (AREA)
  • Heart & Thoracic Surgery (AREA)
  • Medical Informatics (AREA)
  • Molecular Biology (AREA)
  • Pathology (AREA)
  • Animal Behavior & Ethology (AREA)
  • General Health & Medical Sciences (AREA)
  • Public Health (AREA)
  • Signal Processing (AREA)
  • Physiology (AREA)
  • Artificial Intelligence (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Psychiatry (AREA)
  • Power Engineering (AREA)
  • Evolutionary Computation (AREA)
  • Fuzzy Systems (AREA)
  • Mathematical Physics (AREA)
  • Measurement And Recording Of Electrical Phenomena And Electrical Characteristics Of The Living Body (AREA)

Abstract

本申请涉及一种心电信号的分类方法和装置。方法包括:获取第一心电信号,计算与第一心电信号对应的第一导数序列;根据预设R波峰值检测阈值以及预设长度窗口,从第一导数序列中提取R波峰值点序列,并生成R波峰值点序列对应的二值图像;将二值图像输入至预设的心率异常检测模型,得到初始心率异常检测结果;根据初始心率异常检测结果以及预设的心率异常类型的判断策略,确定第一心电信号的心率异常类型。可以在保证低能耗的前提下,实现较高的检测精度以及速度。及时检测心率失常并确认其类型,提高诊断效率,节约医疗资源。

Description

心电信号的分类方法和装置
技术领域
本申请涉及计算机技术领域,特别是涉及一种心电信号的分类方法和装置。
背景技术
心电信号作为反应患者生命体征的一种重要信息,已广泛用于诊断各种心脏异常中,其还可用于预测心血管疾病的发病率和死亡率等。心房颤动的病史和发作持续时间对心脏病的早期诊断和治疗至关重要。通过捕捉到这些罹患心血管疾病病人的早期症状,有助于在疾病发作早期帮助他们有效避免死亡威胁。因而,心脏异常的早期的正确诊断可以增加成功治疗的机会。
然而,心电信号中的心率异常多为间歇性偶发,因此,需要对心率异常的患者进行长时间的连续监测,并将监测到的数据不间断地传输至移动终端,与医生进行长时间的交互,浪费诊疗时间以及医疗资源,限制诊断效率。
发明内容
基于此,有必要针对上述技术问题,提供一种能够提高诊断效率以及节约医疗资源的心电信号的分类方法和装置。
一种心电信号的分类方法,所述方法包括:
获取第一心电信号,计算与所述第一心电信号对应的第一导数序列;
根据预设R波峰值检测阈值以及预设长度窗口,从所述第一导数序列中提取R波峰值点序列,并生成所述R波峰值点序列对应的二值图像;
将所述二值图像输入至预设的心率异常检测模型,得到初始心率异常检测结果;
根据所述初始心率异常检测结果以及预设的心率异常类型的判断策略,确定所述第一心电信号的心率异常类型。
在其中一个实施例中,在获取第一心电信号的步骤之前,还包括:获取初始心电信号;通过移动平均滤波器,对所述初始心电信号进行低通滤波处理,得到第一心电信号;所述计算与所述第一心电信号对应的第一导数序列,包括:对所述第一心电信号进行模数转换,并对模数转换后的第一心电信号进行求导处理,得到初始导数序列;对所述初始导数序列进行中值滤波处理,得到第一导数序列。
在其中一个实施例中,所述第一导数序列中包括多个数值点;
所述根据预设R波峰值检测阈值以及预设长度窗口,从所述第一导数序列中提取R波峰值点序列,包括:计算所述预设长度窗口中的极大值与极小值的差,将所述差作为目标值;在所述第一导数序列中确定大于所述预设R波峰值检测阈值,且大于所述目标值的数值点,并将确定出的数值点作为R波峰值点,得到初始R波峰值点序列;根据所述初始R波峰值点序列,分别计算连续两个R波峰值点之间的时钟周期数;在所述初始R波峰值点序列中,筛选所述时钟周期数在预设范围内的R波峰值点,得到R波峰值点序列;根据所述R波峰值点序列,计算R波幅度;根据所述R波幅度以及预设R波峰值检测阈值,对所述预设R波峰值检测阈值进行更新。
在其中一个实施例中,所述根据所述初始心率异常检测结果以及预设的心率异常类型的判断策略,确定所述第一心电信号的心率异常类型,包括:如果所述初始心率异常检测结果满足目标心率异常类型的匹配条件,则根据所述R波峰值点序列中预设数量的峰值点,确定R波区间平均值;如果当前时刻的R波间隔与所述R波区间平均值满足预设的心率异常发生条件,则确定所述第一心电信号的心率异常类型为目标心率异常类型。
在其中一个实施例中,所述方法还包括:当确定所述第一心电信号的心率异常类型为目标心率异常类型后,生成报警信号以及外部设备触发信号。
一种心电信号的分类装置,所述装置包括:
计算模块,用于获取第一心电信号,计算与所述第一心电信号对应的第一导数序列;R波峰值点序列提取模块,用于根据预设R波峰值检测阈值以及预设长度窗口,从所述第一导数序列中提取R波峰值点序列,并生成所述R波峰值点序列对应的二值图像;初始检测模块,用于将所述二值图像输入至预设的心率异常检测模型,得到初始心率异常检测结果;异常类型判断模块,用于根据所述初始心率异常检测结果以及预设的心率异常类型的判断策略,确定所述第一心电信号的心率异常类型。
上述心电信号的分类方法和装置,通过获取第一心电信号,计算与第一心电信号对应的第一导数序列;根据预设R波峰值检测阈值以及预设长度窗口,从第一导数序列中提取R波峰值点序列,并生成R波峰值点序列对应的二值图像,可以在保证低能耗的前提下,实现较高的检测精度以及速度。通过将二值图像输入至预设的心率异常检测模型,得到初始心率异常检测结果;根据初始心率异常检测结果以及心率异常类型的判断策略,确定初始心电信号的心率异常类型,可以与心电信号的采集设备实现闭环处理,及时检测心率失常并确认其类型,提高诊断效率,节约医疗资源。
附图说明
图1为一个实施例中心电信号的分类方法的流程示意图;
图2为一个实施例中神经网络的结构示意图;
图3为一个实施例中确定心率异常类型的结构示意图;
图4为一个实施例中心电信号分类方法对应的硬件结构图;
图5为一个实施例中对正常心电信号进行滤波处理的示意图;
图6为一个实施例中对异常心电信号进行滤波处理的示意图;
图7为一个实施例中对正常节律、心室扑动和心室颤动信号的检测结果的示意图;
图8为一个实施例中对正常节律和持续性室性心动过速信号的检测结果的示意图;
图9为一个实施例中对心房纤颤和非持续性室性心动过速信号的检测结果的示意图;
图10为一个实施例中心电信号的分类装置的结构框图。
具体实施方式
为了使本申请的目的、技术方案及优点更加清楚明白,以下结合附图及实施例,对本申请进行进一步详细说明。应当理解,此处描述的具体实施例仅仅用以解释本申请,并不用于限定本申请。
心血管疾病的死亡率的持续走高引起关注,经研究发现,大部分的心血管疾病导致的猝死,是由心率异常(CA)导致的。其中,最常见的是房性心律异常(AA)和室性心率异常(VA),分别源于心脏的心房(上腔)或心室(下腔)。室性心率异常包括室性早搏(PVC)、室性心动过速(VT)、心室扑动(VF)和心室颤动(VFIB)等。PVC被认为是一种早期预警,若其出现的频率在24小时内超过10~15%,则可视为PVC过,有可能导致心肌疾病(即心肌无力)。长时间的VT、VF和VFIB属于快速而无规则的心率,有可能是致命的,必须立即除颤。心房纤颤(AFIB)是一种房性心率异常,也是最常见的持续性心律失常,会随着人年龄的增长而增加。因此,心房颤动的病史和心率异常的异常持续时间对于心脏疾病的早期诊断至关重要。
心电图(electrocardiogram,ECG)反映了在一个心脏搏动周期内的电位变化,由P波(心房去极化)、QRS波群(心室去极化)、T波(心室复极化)和偶尔出现的U波(浦肯野复极化)组成。在临床上,通常通过分析患者主诉症状及其心电图来诊断心血管疾病。但是,由于心率异常的偶发性,因此,在现场检测到的ECG并不一定观测到心率异常,因此,能够对心电图进行长期监护的设备是不可或缺的。
相关技术中,通常是通过心电监护,长时间监测心率异常的ECG,并记录其异常节律,继而将心电监护仪采集到的ECG不间断地传输至移动终端。但是上述方法中是需要移动终端(医生端)与心电监护仪不间断地进行交互,导致设备功耗较高,严重影响电池的使用寿命,浪费诊疗时间以及医疗资源,限制诊断效率以及监护时间。相关技术中还存在将心电信号进行压缩后传输至至移动终端(医生端),一定程度此种解决方法可以缓解传输的数据量,但是由于传输的数据为进行压缩后的数据,信号质量降低,导致移动终端对于信号的解读不准确;此外,还存在一种解决方法,仅将ECG的R峰或间隔信息传输至移动终端(医生端),此种方法可以缓解由于ECG波形的个体差异和数据传输问题对诊断造成的严重影响,但是无法在心电监护仪上实现对于心电信号R峰的准确分类。
另外,可穿戴式或植入式心电监护设备现今尤其适用于个性化、定制化的心血管疾病的先期临床诊疗。作为实时连续监测心脏健康状况的一种解决方案,植入式心电监护仪(ICM)的有创性,成为制约其广泛应用的关键的技术瓶颈。多导联Holter可穿戴式心电监护仪目前已经广泛使用,但是其工作时间非常有限,仅数小时或数日。目前,机器学习分类器在监测心率异常方面扮演着越来越重要的角色。支持向量机(SVM)、基于小波的贝叶斯分类器、人工神经网络(ANN)等分类器已能从多种心电数据库中有效分类心率异常。然而,采用这些分类器实现较高的分类准确率,需要使用较高精度的浮点数运算,其代价是运算开销的(超)指数级增长。另外,实现这些分类器所需的较高精度的浮点数运算还需要大量的片上存储资源,这将极大程度增加硬件的面积和功耗需求。同时,诸如二阶差分、简单阈值比较等方法,的确具有较低的功耗和硬件复杂度,但因心电信号较大的个体差异及对噪声较差的鲁棒性难以适应实际的应用需求。另外,大多数心率异常是完全随机偶发的,这要求检测分类方法必须实时完成识别(延时<1s量级),同时要具有较高的准确率、较低的误判率和较低的硬件复杂度和极低的功耗。通常,商用的微处理器(MSP430等)在典型工作模式下的电流消耗为~2mA,这样的电流对于小型化低功耗心电监护系统来说并不适用。
因此,本发明实施例提供了一种心电信号的分类方法和装置,可以以较低的硬件开销,实现长时间连续准确检测心电信号中不同类型心率异常,并具备对噪声和心电信号的个体差异较强的鲁棒性。也就是说,本实施例中的心电信号的分类方法可以应用于ECG数据采集设备,进而实现数据的闭环处理,及时检测心率失常并确认其类型,可以提高诊断效率,节约医疗资源。
在一个实施例中,如图1所示,提供了一种心电信号的分类方法,本实施例以该方法可以应用于心电信号的分类设备,可以理解的是,该方法也可以应用于服务器,还可以应用于包括终端和服务器的系统,并通过终端和服务器的交互实现。本实施例中,以应用于心电信号的分类设备中为例进行说明,该方法包括以下步骤:
步骤101,获取第一心电信号,计算与第一心电信号对应的第一导数序列。
其中,第一心电信号用于表征生物体的心脏在不断搏动时的电位变化,例如可以是在多个心跳周期内的电位变化。第一心电信号内可以包括多个采样点,采样周期可以根据用户需求设置。第一导数序列中可以包括与第一心电信号中的多个采样点一一对应的导数,第n个采样点的导数可以通过下述公式计算:
yD[n]=y[n]-y[n-1],n∈N+
其中,yD[n]表示第n个采样点的导数,y[n]表示第n个采样点的数值,y[n-1]表示第(n-1)个采样点的数值。
具体地,心电信号的分类设备可以直接采集生物体在多个心跳周期内的心电信号。心电信号的分类设备也可以与心电信号的采集设备相连接,接收心电信号的采集设备采集到的生物体在多个心跳周期内的心电信号。心电信号的采集设备可以是心电监护仪等。心电信号的分类设备对获取到的第一心电信号中的多个采样点分别进行求导计算,得到与第一心电信号中多个采样点一一对应的第一导数序列。
步骤102,根据预设R波峰值检测阈值以及预设长度窗口,从第一导数序列中提取R波峰值点序列,并生成R波峰值点序列对应的二值图像。
其中,预设R波峰值检测阈值用于在第一导数序列中,初步划分R波峰值点的条件值。例如可以是对历史时间段内的心电信号中所包含的R峰进行分析后,得到的自适应R波峰值检测阈值,可以用RTHR表示。预设长度窗口是一个可以根据实际用户需求配置长度的窗口,用于再次筛选R波峰值点。预设长度与模数转换器(ADC)的采样率有关,具体取值可以是fADC/2或fADC,即为二分之一的模数转换器(ADC)的采样率,或者与ADC的采样率的取值相仿。R波峰值点序列中包括多个R波峰值点,二值图像中的每一个像素都只有两种可能的取值状态,可以用黑白、B&W、单色图像表示,也就是说,二值图像中的任何像素点的灰度值均为0或者1,分别代表黑色和白色。
具体地,第一导数序列中包括多个导数,在第一导数序列中,心电信号的分类设备将小于或等于预设R波峰值检测阈值的导数删除,将大于预设R波峰值检测阈值的导数保留,得到更新后的第一导数序列。继而,心电信号的分类设备根据ADC的采样率确定预设长度窗口的长度,根据预设长度窗口中的数值确定一个目标值。在更新后的第一导数序列中,心电信号的分类设备将小于或等于目标值的导数删除,将大于目标值的导数保留,得到R波峰值点序列。针对于R波峰值点序列,可以根据预设心电信号的二值化处理算法,将R波峰值点序列转换为二值图像。
步骤103,将二值图像输入至预设的心率异常检测模型,得到初始心率异常检测结果。
具体地,分类设备中可以预先存储有心率异常检测模型。心率异常检测模型可以采用神经网络模型、深度学习模型等等。预设的心率异常检测模型中预先配置有多个心率异常类型的特征。分类设备可以将与第一心电信号对应的二值图像输入至预设的心率异常检测模型中,得到初始心率异常检测结果。初始心率异常检测结果为数值序列,用于表征第一心电信号与预先配置的多个心率异常类型的特征的匹配程度,多个心率异常类型可以是包括室性早搏、室性心动过速、心室扑动、心室颤动等等。
例如,初始心率异常检测结果可以为(0,0,1,-1),表示第一心电信号与室性早搏的匹配程度为0,与室性心动过速的匹配程度为0,与心室扑动的匹配程度为1,与心室颤动的匹配程度为-1。在本实施例中,初始心率异常检测结果的上述取值仅仅为举例,实际上可以为数值、数值序列等等多种表示方式,并不局限于上述描述。
在一可选实施例中,如图2所示,上述预设的心率异常检测模型的输出序列可以是输入为二进制的低精度前馈神经网络结构,其包括输入层神经元、隐藏层神经元以及输出层神经元。隐藏层可以包括N个神经元,输出层神经元的具体数量可以是与预设的心率异常检测模型中预先配置的心率异常类型的数量相一致,例如,可以是2个。此神经网络的神经突触上的权值采用三值权值(即:-1、0、1)代替浮点权值,可以使得存储空间大幅缩减;另外,采用定点运算方式,神经网络内部运算只包括加减运算,可大大降低硬件开销。
步骤104,根据初始心率异常检测结果以及预设的心率异常类型的判断策略,确定第一心电信号的心率异常类型。
其中,预设的心率异常类型的判断策略是用于表征根据初始心率异常检测结果判断第一心电信号对应的生物体所患心率异常类型的条件。生物体所患心率异常类型可以包括但不限于以下几种:室性早搏、室性心动过速、心室扑动、心室颤动等。
具体地,心电信号的分类设备根据初始心率异常检测结果初步确定第一心电信号的心率异常类型,其次再根据R波峰值点序列中的预设参数是否满足预设的心率异常发生条件,如果满足,则确定第一心电信号表征上述初步确定的心率异常类型。其中,预设参数可以包括当前时刻的R波间隔以及R波区间平均值。
上述心电信号的分类方法中,通过获取第一心电信号,计算与第一心电信号对应的第一导数序列;根据预设R波峰值检测阈值以及预设长度窗口,从第一导数序列中提取R波峰值点序列,并生成R波峰值点序列对应的二值图像,可以在保证低能耗的前提下,实现较高的检测精度以及速度。通过将二值图像输入至预设的心率异常检测模型,得到初始心率异常检测结果;根据初始心率异常检测结果以及心率异常类型的判断策略,确定初始心电信号的心率异常类型,可以与心电信号的采集设备实现闭环处理,及时检测心率失常并确认其类型,提高诊断效率,节约医疗资源。由于上述方法中通过预设R波峰值检测阈值确定R波峰值点,还可以降低硬件开销,在低功耗的条件下,实时检测与识别心率异常,可以准确检测休克性或非休克性心律失常。
在一个实施例中,在步骤101,获取第一心电信号之前,该心电信号的分类方法还包括:
步骤一,获取初始心电信号。
其中,初始心电信号可以是直接采集到的生物体的心电信号,为模拟信号。例如心电监护仪可以在一段时间内持续不间断地采集生物体的心电信号,采集到的模拟信号即为初始心电信号。
步骤二,通过移动平均滤波器,对初始心电信号进行低通滤波处理,得到第一心电信号。
其中,相关技术中使用有限冲激响应(FIR)和无限冲激响应(IIR)滤波器,但上述两种滤波器需要较为复杂的乘除法运算,导致较高的硬件开销。本实施例中采用的移动平均滤波器(Microwave Active Filters,MAF)用于实现滑动平均滤波。
具体地,通过移动平均滤波器,将初始心电信号中的高频噪声过滤,得到第一心电信号。例如,移动平均滤波器为可编程MAF,根据数据帧长实现保留低频分量,滤除高频分量。其中的数据帧长可以是2的指数幂,具体指数可以根据实际应用需求分别配置为2、4、8等。例如,当初步判断初始心电信号中高频噪声较多时,可以通过SPI数据接口配置为8。具体参数配置过程可以是:通过微控制器将所需配置的参数写入相应的寄存器中。
本实施例中,通过可编程的移动平均滤波器对初始心电信号进行低通滤波处理,可以将复杂的除法运算转换为右移运算,能够减少随机噪声和高频抖动,保证对ECG峰值检测的高精度。
在一个实施例中,步骤101,计算与第一心电信号对应的第一导数序列,具体包括:
首先,对第一心电信号进行模数转换,并对模数转换后的第一心电信号进行求导处理,得到初始导数序列;
其中,第一心电信号为模拟信号,用于表征在一段时间内生物体的心脏在不断搏动时的电位变化的连续值。对第一心电信号进行模数转换的过程实际上对第一心电信号进行采样,采样频率可以根据实际应用需求确定。此时,转换后的第一心电信号为数字信号,即为离散信号。模数转换后的第一心电信号包括多个离散的采样点,与采样时间一一对应。对于离散的采样点分别进行求导处理,计算得到初始导数序列。通过模数转换器(ADC)对第一心电信号进行转换。
具体地,第n个采样点的导数可以通过下述公式计算:
yD[n]=y[n]-y[n-1],n∈N+
其中,yD[n]表示第n个采样点的导数,y[n]表示第n个采样点的数值,y[n-1]表示第(n-1)个采样点的数值。
接着,对初始导数序列进行中值滤波处理,得到第一导数序列。
其中,中值滤波处理为非线性滤波,是一种基于排序统计理论抑制噪声的非线性信号处理技术。
具体地,心电信号的分类设备可以通过中值滤波器对初始导数序列进行中值滤波。中值滤波器进行中值滤波的过程可以包括:首先确定初始导数序列中的中值,继而根据上述中值对初始导数序列进行过滤。
本实施例中,通过对初始导数序列进行中值滤波,可以在过滤掉脉冲噪声、椒盐噪声的同时能保留导数序列的边缘细节,保证对ECG峰值检测的高精度。
在一个实施例中,上述第一导数序列中包括多个数值点;多个数值点与多个采样点一一对应。
步骤102,根据预设R波峰值检测阈值以及预设长度窗口,从第一导数序列中提取R波峰值点序列,具体包括:
首先,计算预设长度窗口中的极大值与极小值的差,将差作为目标值。
其中,预设长度窗口可以是根据ECG周期确定长度的采样窗口,预设长度的取值可以与进行模数转换时的采样率一致,例如为fADC/2或fADC
具体地,当心电信号的分类设备根据ECG周期确定预设长度窗口的长度之后,可以将此采样窗口放置于第一心电信号的任意位置,进而采集窗口内的极大值与极小值,并将计算得到的极小值与极大值的差,或者是差的绝对值作为目标值。
接着,在第一导数序列中确定大于预设R波峰值检测阈值,且大于目标值的数值点,并将确定出的数值点作为R波峰值点,得到初始R波峰值点序列。
具体地,心电信号的分类设备根据上述预设R波峰值检测阈值以及目标值对第一导数序列进行多次筛选,首先在第一导数序列中筛选大于上述预设R波峰值检测阈值的数值点(即采样点)。其次,在大于预设R波峰值检测阈值的多个数值点中筛选大于目标值的数值点,此时,可以确定筛选得到的数值点对应的心电信号R波峰值,即得到初始R波峰值点序列。
接着,根据初始R波峰值点序列,分别计算连续两个R波峰值点之间的时钟周期数。
接着,在初始R波峰值点序列中,筛选时钟周期数在预设范围内的R波峰值点,得到R波峰值点序列。
其中,连续两个R波峰值点之间的时钟周期数的含义可以是从一个R波出现,到相邻的下一个R波出现之间的时间长度,也可以称之为振荡周期。由于在ECG的一个心动周期内,ST波的典型间隔为80-120ms,因此,可以根据两个R波峰值之间的时钟周期数,判断所检出的R波峰值是否为真实的R波所在位置。预设范围可以是根据历史数据库确定的数值范围,例如可以是“大于200ms,且小于2000ms”,时间可用时钟周期数除以ADC采样率折算。
具体地,心电信号的分类设备根据初始R波峰值点序列,心电信号的分类设备对相邻的两个R波峰值点之间的时钟周期数进行计算。当计算出的时钟周期数在上述预设范围内时,可以说明此时的R波峰值点为真实R波所在位置。心电信号的分类设备对初始R波峰值点序列中各个R波峰值点进行遍历计算,当得到计算结果后,将时钟周期数不符合预设范围的R波峰值点删除,将时钟周期数符合预设范围的R波峰值点保留,得到R波峰值点序列,即真实R波峰值点序列。
本实施例中,通过预设R波峰值检测阈值以及预设长度窗口,从第一导数序列中提取R波峰值点序列,并根据两个R波峰值点之间的时钟周期数,筛选得到真实R波峰值点序列。可以避免由于某些生物体的T波幅度较大而带来的R波检测误差,也就是提高了本方法对于噪声和ECG的个体差异的鲁棒性。
在一个实施例中,上述心电信号的分类方法,还包括:
首先,根据R波峰值点序列,计算R波幅度。
接着,根据R波幅度以及预设R波峰值检测阈值,对预设R波峰值检测阈值进行更新。
其中,R波幅度用于表征R波的幅度,也就是R波的间隔值。
具体地,在心电信号的分类设备每次确定R波峰值点序列后,会对预设R波峰值检测阈值进行更新。分类设备可以根据初始的预设R波峰值检测阈值、第一权重、R波幅度以及第二权重,计算得到更新后的预设R波峰值检测阈值。分类设备还可以根据第一心电信号中前m个点的目标值以及第三权重,计算得到初始的预设R波峰值检测阈值,例如,将初始的预设R波峰值检测阈值的二分之一与新的R波幅度的十六分之一作为新的预设R波峰值检测阈值,将第一心电信号中前m个点中最大值的四分之一作为初始的预设R波峰值检测阈值。
本实施例中,通过在确定R波峰值点序列后,对预设R波峰值检测阈值进行不断迭代更新,可以保持R波检测的高精度,并且在更新过程中只涉及到加法,可以实现低功耗,在兼顾硬件开销的情况下可以达到较高的检测精度,并且具有良好的鲁棒性。还可以根据生物体的个体差异进行检测阈值的自适应,在低延迟的同时还减少了误判。
在一个实施例中,步骤204,根据初始心率异常检测结果以及预设的心率异常类型的判断策略,确定第一心电信号的心率异常类型,包括:
首先,如果初始心率异常检测结果满足目标心率异常类型的匹配条件,则根据R波峰值点序列中预设数量的峰值点,确定R波区间平均值。
接着,如果当前时刻的R波间隔与R波区间平均值满足预设的心率异常发生条件,则确定第一心电信号的心率异常类型为目标心率异常类型。
其中,目标心率异常类型的匹配条件用于表征判断得到的初始心率异常检测结果是否发生目标心率异常类型的条件。例如,当初始心率异常检测结果为(0,0,1,-1)时,目标心率异常类型的匹配条件可以是“输出序列中对应匹配程度为预设值,发生目标心率异常类型的心率异常”。预设值可以为1或其他预先设置的值。此时,可以确定目标心率异常类型为心室扑动。
具体地,如图3所示,预设的心率异常类型的判断策略可以如下所示,心率异常的种类可以为六种,包括:种类1、种类2、种类3、种类4、种类5以及种类6。当神经网络输出序列(即初始心率异常检测结果)中第一异常种类的输出序列为1时,可以初步确定发生此种异常。预设的心率异常发生条件可以是“确定发生目标心率异常类型的心率异常达到目标次数”,例如,根据历史时间段内八个R波间隔的平均值RRm与当前时刻的R波间隔RRi,若RRi<0.8RRm,且这样的节律持续连续4个以上,则确定第一心电信号的心率异常类型为第一异常种类,进而生成第一异常种类的报警信号;若持续的节律没有连续四个以上,则认为没有发生异常。
本实施例中,通过得到的R波峰值点序列的时域特征以及神经网络输出的初始心率异常检测结果,判断第一心电信号对应的心率异常类型,可以及时、且高精度地检测异常节律。
在一个实施例中,上述心电信号的分类方法,还包括:当确定第一心电信号的心率异常类型为目标心率异常类型后,生成报警信号以及外部设备触发信号。其中,外部设备可以是心电除颤仪等急救设备,可以在检测心率异常的发生后,及时通知急救人员,保证诊断效率。
应该理解的是,虽然图1的流程图中的各个步骤按照箭头的指示依次显示,但是这些步骤并不是必然按照箭头指示的顺序依次执行。除非本文中有明确的说明,这些步骤的执行并没有严格的顺序限制,这些步骤可以以其它的顺序执行。而且,图1中的至少一部分步骤可以包括多个步骤或者多个阶段,这些步骤或者阶段并不必然是在同一时刻执行完成,而是可以在不同的时刻执行,这些步骤或者阶段的执行顺序也不必然是依次进行,而是可以与其它步骤或者其它步骤中的步骤或者阶段的至少一部分轮流或者交替地执行。
以下结合一硬件结构图4,详细描述上述心电信号的分类方法的执行过程:本发明实施例的心电信号的分类方法的输入可以是,经过模数转换器(ADC)量化的无符号整型信号流,输出可以是R波峰值位置、峰值大小、ECG节律类型(正常或存在心率失常)和峰值类型(属于哪种峰值类型等)。本发明的方法可以以嵌入式算法的形式实现,也可以RTL硬件描述语言后,在FPGA等硬件平台上实现,还可以通过在全定制专用集成电路上集成心电节律检测模块、数据接口、寄存器堆、缓存单元等模块实现,其中神经网络的权值、激活函数和R波节律检测的初始阈值等参数,可以通过IIC或SPI等数据接口,由单片机或PC进行配置。
考虑到心电信号形状的不规则性和异常的偶发性,需要在芯片上缓存0.1-0.2秒的心电历史数据以供回溯,进而通过上述实施例的心电信号的分类方法对异常心律波形进行准确分类。另外,权值是神经网络计算需要的关键参数,在每次心动周期的检测中都需要重新读取权值。这些权值在PC端(或其他使用终端)离线训练结束后,已学习的权值会直接一次性写入缓存单元中,而在计算时直接调用。故本发明缓存包括窗口缓存(用于缓存心电历史数据)、神经网络权值缓存和激活函数缓存。激活函数值若采用常用的片上存储,可能会导致过大的硬件开销。在本发明中,激活函数的输入值仅在片上缓存若干基本输入值(如20个),而所有函数值均在片上由这些值通过查找表计算生成。本处理方法基于的缓存结构的硬件实现框图如图4所示。
本发明实施例的心电信号的分类方法仅使用加法、乘法、移位运算,避免了开销较大的除法运算。由于神经网络内部只执行加法和减法,可以显著降低处理电路的硬件开销。本发明实施例的方法可检测不同类型的心电异常节律,并且可对异常节律实现早期预警,进而对心电除颤等医疗监护设备提供数据支持。在基于自适应阈值的动态梯度心电峰值检测算法中,在邻域搜索R波峰值的窗长,可根据当前已检测出的患者心率(即:R-R峰值间隔)动态调整,而非使用固定窗长W。
本发明的检测方法使用单导联心电图来检测心率异常,为了使得该检测方法对噪声具有鲁棒性,本发明使用了两级滤波结构,第一级滤波为可配置的移动均值低通滤波器,位于求导运算之前用来消除高频噪声;为了处理高频抖动和大幅度的摩擦干扰,差分导数输出被降采样和中值滤波。这两种滤波器都只使用加法、比较和移位运算来降低硬件开销,能够显著节省硬件开销。
本发明的方法中滤波的处理过程,对正常人和病人的两例信号的典型处理可以是如图5以及图6所示。可以看到ECG波形仍然完整,但高频的摩擦和椒盐噪声等干扰已经被有效去除。图5中(a)表示正常ECG波形,图5中(b)表示通过移动平均滤波器(MAF)对正常ECG波形进行滤波后的结果。图6中(a)表示异常ECG波形,图6中(b)表示通过移动平均滤波器(MAF)对异常ECG波形进行滤波后的结果。
本实施例的检测方法对三例典型ECG信号的检测结果如图7-9所示,即对1.正常节律、心室扑动和心室颤动、2.正常节律和持续性室性心动过速、3.心房纤颤和非持续性室性心动过速的三例典型分类检测结果。其中神经网络按照分类心室扑动、室性心动过速、心室颤动、心房纤颤来配置输出层神经元。心电信号的R波峰值由前述低硬件开销的基于自适应阈值和动态梯度的峰值检测方法给出,并用星号标注。在图7中,黑色星号处表示被神经网络识别为正常节律,网格背景处灰色星号表示被神经网络识别为心室扑动,横条背景处灰色星号表示被神经网络识别为心室颤动;图8中,黑色星号和网格背景处灰色星号分别表示正常节律和持续性室性心动过速;图9中,黑色星号和网格背景处灰色星号则分别表示心房纤颤和非持续性室性心动过速。可见这三例ECG信号中,心率异常信号已被识别出来,可据此向除颤器等设备发出使能信号或标记位,辅助患者的治疗等。
在一个实施例中,如图10所示,提供了一种心电信号的分类装置,包括:计算模块、R波峰值点序列提取模块、初始检测模块和异常类型判断模块,其中:
计算模块701,用于获取第一心电信号,计算与第一心电信号对应的第一导数序列;
R波峰值点序列提取模块702,用于根据预设R波峰值检测阈值以及预设长度窗口,从第一导数序列中提取R波峰值点序列,并生成R波峰值点序列对应的二值图像;
初始检测模块703,用于将二值图像输入至预设的心率异常检测模型,得到初始心率异常检测结果;
异常类型判断模块704,用于根据初始心率异常检测结果以及预设的心率异常类型的判断策略,确定第一心电信号的心率异常类型。
在一个实施例中,心电信号的分类装置还包括:初始心电信号获取模块,用于获取初始心电信号;低通滤波模块,用于通过移动平均滤波器,对初始心电信号进行低通滤波处理,得到第一心电信号。
在一个实施例中,计算模块具体包括:求导子模块,用于对第一心电信号进行模数转换,并对模数转换后的第一心电信号进行求导处理,得到初始导数序列;中值滤波子模块,用于对初始导数序列进行中值滤波处理,得到第一导数序列。
在一个实施例中,第一导数序列中包括多个数值点;R波峰值点序列提取模块,具体包括:求差子模块,用于计算预设长度窗口中的极大值与极小值的差,将差作为目标值;第一筛选子模块,用于在第一导数序列中确定大于预设R波峰值检测阈值,且大于目标值的数值点,并将确定出的数值点作为R波峰值点,得到初始R波峰值点序列;时钟周期数计算子模块,用于根据初始R波峰值点序列,分别计算连续两个R波峰值点之间的时钟周期数;第二筛选子模块,用于在初始R波峰值点序列中,筛选时钟周期数在预设范围内的R波峰值点,得到R波峰值点序列。
在一个实施例中,心电信号的分类装置,还包括:更新模块,用于根据R波峰值点序列,计算R波幅度;根据R波幅度以及预设R波峰值检测阈值,对预设R波峰值检测阈值进行更新。
在一个实施例中,异常类型判断模块,具体包括:R波区间平均值子模块,用于如果初始心率异常检测结果满足目标心率异常类型的匹配条件,则根据R波峰值点序列中预设数量的峰值点,确定R波区间平均值;目标心率异常类型确定子模块,用于如果当前时刻的R波间隔与R波区间平均值满足预设的心率异常发生条件,则确定第一心电信号的心率异常类型为目标心率异常类型。
在一个实施例中,心电信号的分类装置,还包括:报警信号生成模块,用于当确定第一心电信号的心率异常类型为目标心率异常类型后,生成报警信号以及外部设备触发信号。
关于心电信号的分类装置的具体限定可以参见上文中对于心电信号的分类方法的限定,在此不再赘述。上述心电信号的分类装置中的各个模块可全部或部分通过软件、硬件及其组合来实现。上述各模块可以硬件形式内嵌于或独立于计算机设备中的处理器中,也可以以软件形式存储于计算机设备中的存储器中,以便于处理器调用执行以上各个模块对应的操作。
以上实施例的各技术特征可以进行任意的组合,为使描述简洁,未对上述实施例中的各个技术特征所有可能的组合都进行描述,然而,只要这些技术特征的组合不存在矛盾,都应当认为是本说明书记载的范围。
以上所述实施例仅表达了本申请的几种实施方式,其描述较为具体和详细,但并不能因此而理解为对发明专利范围的限制。应当指出的是,对于本领域的普通技术人员来说,在不脱离本申请构思的前提下,还可以做出若干变形和改进,这些都属于本申请的保护范围。因此,本申请专利的保护范围应以所附权利要求为准。

Claims (6)

1.一种心电信号的分类方法,其特征在于,所述方法包括:
获取第一心电信号,计算与所述第一心电信号对应的第一导数序列,所述第一导数序列中包括多个数值点;
根据预设R波峰值检测阈值以及预设长度窗口,从所述第一导数序列中提取R波峰值点序列,并生成所述R波峰值点序列对应的二值图像;
将所述二值图像输入至预设的心率异常检测模型,得到初始心率异常检测结果;
根据所述初始心率异常检测结果以及预设的心率异常类型的判断策略,确定所述第一心电信号的心率异常类型;
所述根据预设R波峰值检测阈值以及预设长度窗口,从所述第一导数序列中提取R波峰值点序列,包括:
计算所述预设长度窗口中的极大值与极小值的差,将所述差作为目标值;
在所述第一导数序列中确定大于所述预设R波峰值检测阈值,且大于所述目标值的数值点,并将确定出的数值点作为R波峰值点,得到初始R波峰值点序列;
根据所述初始R波峰值点序列,分别计算连续两个R波峰值点之间的时钟周期数;
在所述初始R波峰值点序列中,筛选所述时钟周期数在预设范围内的R波峰值点,得到R波峰值点序列。
2.根据权利要求1所述的方法,其特征在于,在获取第一心电信号的步骤之前,还包括:
获取初始心电信号;
通过移动平均滤波器,对所述初始心电信号进行低通滤波处理,得到第一心电信号;
所述计算与所述第一心电信号对应的第一导数序列,包括:
对所述第一心电信号进行模数转换,并对模数转换后的第一心电信号进行求导处理,得到初始导数序列;
对所述初始导数序列进行中值滤波处理,得到第一导数序列。
3.根据权利要求1所述的方法,其特征在于,所述方法还包括:
根据所述R波峰值点序列,计算R波幅度;
根据所述R波幅度以及预设R波峰值检测阈值,对所述预设R波峰值检测阈值进行更新。
4.根据权利要求1所述的方法,其特征在于,所述根据所述初始心率异常检测结果以及预设的心率异常类型的判断策略,确定所述第一心电信号的心率异常类型,包括:
如果所述初始心率异常检测结果满足目标心率异常类型的匹配条件,则根据所述R波峰值点序列中预设数量的峰值点,确定R波区间平均值;
如果当前时刻的R波间隔与所述R波区间平均值满足预设的心率异常发生条件,则确定所述第一心电信号的心率异常类型为目标心率异常类型。
5.根据权利要求1所述的方法,其特征在于,所述方法还包括:
当确定所述第一心电信号的心率异常类型为目标心率异常类型后,生成报警信号以及外部设备触发信号。
6.一种心电信号的分类装置,其特征在于,所述装置包括:
计算模块,用于获取第一心电信号,计算与所述第一心电信号对应的第一导数序列,所述第一导数序列中包括多个数值点;
R波峰值点序列提取模块,用于根据预设R波峰值检测阈值以及预设长度窗口,从所述第一导数序列中提取R波峰值点序列,并生成所述R波峰值点序列对应的二值图像;
初始检测模块,用于将所述二值图像输入至预设的心率异常检测模型,得到初始心率异常检测结果;
异常类型判断模块,用于根据所述初始心率异常检测结果以及预设的心率异常类型的判断策略,确定所述第一心电信号的心率异常类型;
所述R波峰值点序列提取模块,具体包括:
求差子模块,用于计算预设长度窗口中的极大值与极小值的差,将差作为目标值;第一筛选子模块,用于在第一导数序列中确定大于预设R波峰值检测阈值,且大于目标值的数值点,并将确定出的数值点作为R波峰值点,得到初始R波峰值点序列;时钟周期数计算子模块,用于根据初始R波峰值点序列,分别计算连续两个R波峰值点之间的时钟周期数;第二筛选子模块,用于在初始R波峰值点序列中,筛选时钟周期数在预设范围内的R波峰值点,得到R波峰值点序列。
CN202110679932.3A 2021-06-18 2021-06-18 心电信号的分类方法和装置 Active CN113520401B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202110679932.3A CN113520401B (zh) 2021-06-18 2021-06-18 心电信号的分类方法和装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202110679932.3A CN113520401B (zh) 2021-06-18 2021-06-18 心电信号的分类方法和装置

Publications (2)

Publication Number Publication Date
CN113520401A CN113520401A (zh) 2021-10-22
CN113520401B true CN113520401B (zh) 2022-05-31

Family

ID=78125140

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202110679932.3A Active CN113520401B (zh) 2021-06-18 2021-06-18 心电信号的分类方法和装置

Country Status (1)

Country Link
CN (1) CN113520401B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114027847B (zh) * 2021-11-17 2023-05-05 湖南万脉医疗科技有限公司 一种基于时频分析的心电信号分析方法
CN114176550A (zh) * 2021-12-28 2022-03-15 深圳云天励飞技术股份有限公司 心率数据分类方法、装置、设备及存储介质
CN117462141B (zh) * 2023-12-25 2024-03-26 深圳市先健心康医疗电子有限公司 心电信号检测方法、装置、计算机设备和存储介质

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111839494A (zh) * 2020-09-04 2020-10-30 广东电网有限责任公司电力科学研究院 一种心率监测方法及系统

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10426963B2 (en) * 2015-10-30 2019-10-01 Zoll Medical Corporation Estimating shock success by monitoring changes in spectral data
US10799135B2 (en) * 2018-06-13 2020-10-13 Pacesetter, Inc. Method and system to detect R-waves in cardiac activity signals
CN111557661B (zh) * 2020-05-15 2024-03-08 深圳清华大学研究院 心电信号处理方法及装置

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111839494A (zh) * 2020-09-04 2020-10-30 广东电网有限责任公司电力科学研究院 一种心率监测方法及系统

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
BP神经网络和回归算法在分类中的应用;瞿晓等;《电气技术》;20060815(第08期);全文 *
心率、动脉血压变异性信号的数据采集与预处理方法;张荣,王兴邦,张立藩;《第四军医大学学报》;19960310(第02期);全文 *

Also Published As

Publication number Publication date
CN113520401A (zh) 2021-10-22

Similar Documents

Publication Publication Date Title
CN113520401B (zh) 心电信号的分类方法和装置
US20220015711A1 (en) System and method for automated analysis and detection of cardiac arrhythmias from electrocardiograms
Zhai et al. Automated ECG classification using dual heartbeat coupling based on convolutional neural network
CN108478209B (zh) 心电信息动态监护方法和动态监护系统
Pandey et al. Patient specific machine learning models for ECG signal classification
EP3367897B1 (en) An automatic method to delineate or categorize an electrocardiogram
Sivaraks et al. Robust and accurate anomaly detection in ECG artifacts using time series motif discovery
CN109411041B (zh) 心电信息处理方法和心电工作站系统
Patel et al. Real time ECG feature extraction and arrhythmia detection on a mobile platform
CN106815570B (zh) 一种基于动态模式识别的心电信号st-t段识别方法
CN109411042B (zh) 心电信息处理方法和心电工作站
Venkataramanaiah et al. ECG signal processing and KNN classifier-based abnormality detection by VH-doctor for remote cardiac healthcare monitoring
Demirel et al. Energy-efficient real-time heart monitoring on edge–fog–cloud internet of medical things
Francesca et al. Comparison of low-complexity algorithms for real-time QRS detection using standard ECG database
CN112120693B (zh) 一种心电监护系统和具有其的可穿戴设备
Kanna et al. Automated defective ECG signal detection using MATLAB applications
Zhang et al. Computational approaches for detection of cardiac rhythm abnormalities: Are we there yet?
Aphale et al. Arrhynet: A high accuracy arrhythmia classification convolutional neural network
EP3641628B1 (en) System and method for classifying ectopic cardiac beats
Kar et al. A technical review on statistical feature extraction of ECG signal
CN115770054A (zh) 心电信号处理方法、便携式心电采集设备和存储介质
Jokić et al. An efficient ECG modeling for heartbeat classification
CN114098754A (zh) 一种房颤信号预处理方法、检测系统、设备及存储介质
CN113349753A (zh) 一种基于便携式动态心电监护仪的心律失常检测方法
Jokić et al. An efficient approach for heartbeat classification

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant