CN112860237B - 一种测控固件生成方法、装置、计算机设备及存储介质 - Google Patents

一种测控固件生成方法、装置、计算机设备及存储介质 Download PDF

Info

Publication number
CN112860237B
CN112860237B CN202110182024.3A CN202110182024A CN112860237B CN 112860237 B CN112860237 B CN 112860237B CN 202110182024 A CN202110182024 A CN 202110182024A CN 112860237 B CN112860237 B CN 112860237B
Authority
CN
China
Prior art keywords
chip
firmware
control module
fpga
module
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202110182024.3A
Other languages
English (en)
Other versions
CN112860237A (zh
Inventor
周茂林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Guangzhou Silinger Technology Co ltd
Original Assignee
Guangzhou Silinger Technology Co ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Guangzhou Silinger Technology Co ltd filed Critical Guangzhou Silinger Technology Co ltd
Priority to CN202110182024.3A priority Critical patent/CN112860237B/zh
Publication of CN112860237A publication Critical patent/CN112860237A/zh
Application granted granted Critical
Publication of CN112860237B publication Critical patent/CN112860237B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F8/00Arrangements for software engineering
    • G06F8/30Creation or generation of source code
    • G06F8/31Programming languages or programming paradigms
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/22Detection or location of defective computer hardware by testing during standby operation or during idle time, e.g. start-up testing
    • G06F11/2205Detection or location of defective computer hardware by testing during standby operation or during idle time, e.g. start-up testing using arrangements specific to the hardware being tested
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F8/00Arrangements for software engineering
    • G06F8/40Transformation of program code
    • G06F8/41Compilation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F8/00Arrangements for software engineering
    • G06F8/70Software maintenance or management
    • G06F8/71Version control; Configuration management

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Software Systems (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Security & Cryptography (AREA)
  • Computing Systems (AREA)
  • Computer Hardware Design (AREA)
  • Quality & Reliability (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Stored Programmes (AREA)

Abstract

本申请实施例属于固件生成领域,涉及一种测控固件生成方法,包括根据测试过程中需要使用到的芯片和总线,确定与芯片和总线的数量和种类相应的预设的控制模块;编辑所述控制模块,并且对所述控制模块编译,以生成FPGA固件;根据所述芯片和总线之间的电连接关系,确定设备树文件及预设驱动程序的配置文件;将所述FPGA固件、设备数文件及驱动程序打包为测控固件。本申请还提供一种测控固件生成装置、计算机设备及存储介质。本申请够根据测试过程中需要用到的总线以及芯片以及连接结构,快速编写测控固件,测控固件编写效率高。

Description

一种测控固件生成方法、装置、计算机设备及存储介质
技术领域
本申请涉及固件生成技术领域,尤其涉及一种测控固件生成方法、装置、计算机设备及存储介质。
背景技术
传统的板卡测试工作是基于专用的测试硬件进行。之后,行业内开发了基于FPGA实现的软件定义的测试测试工具,具体是使用FPGA作为任务处理的核心,通过总线分别与通用板卡以及上位机通信,实现测试和信息上传的效果,针对不同的测试场景,需要相应的通用板卡和对应的测控固件实现。
测控固件是一类用于xavier控制板卡执行的固件,用户通过测控固件控制待测板卡实现测试功能,测控固件包括FPGA固件和驱动程序,其中驱动程序用于控制待测板卡的物理接口,存储器,寄存器等硬件结构,而FPGA固件用于执行相应的测试功能。为了测试待测板卡,通常xavier控制板卡需要通过若干个芯片和总线控制待测板卡,在此基础之上还需要提供整套的驱动控制。如果根据每组待测板卡进行测控固件的编写,代码量非常大,测控固件编写效率低,测试效率极低。
发明内容
本申请实施例的目的在于提出一种测控固件的生成方法,以提升测控固件的编写效率。
为了解决上述技术问题,本申请实施例提供一种测控固件生成方法,采用了如下所述的技术方案:
一种测控固件生成方法,包括下述步骤:
根据测试过程中需要使用到的芯片和总线,确定与芯片和总线的数量和种类相应的预设的控制模块;
编辑所述控制模块,并且对所述控制模块编译,以生成FPGA固件;
根据所述芯片和总线之间的电连接关系,确定设备树文件及预设驱动程序的配置文件;
将所述FPGA固件、设备树文件及驱动程序打包为测控固件。
进一步的,所述编辑所述控制模块,并且对所述控制模块编译,以生成FPGA固件的步骤具体包括:
获取所述控制模块对应的设置信息,所述设置信息包括控制模块上的功能对应的引脚信息;
根据所述设置信息和对控制模块分配的FPGA模块的管脚,生成与所述控制模块对应的TCL文件;
将所述TCL文件编译成FPGA固件。
进一步的,所述根据所述设置信息和对控制模块分配的FPGA模块的管脚,生成与所述控制模块对应的TCL文件,具体包括:
读取所述控制模块的至少一个引脚和所述引脚对应的功能;
将所述引脚与FPGA模块上的管脚相对应;
根据所述引脚对应的功能以及引脚和管脚的对应关系生成用于控制所述控制模块的脚本语句,并且生成对应的TCL文件。
进一步的,所述根据所述芯片和总线之间的电连接关系,确定设备树文件及预设驱动程序的配置文件中,所述配置文件的确定方法具体包括:
根据所述FPGA模快、芯片和总线之间的电连接关系,确定相应芯片连接的总线,以及芯片端口;
根据所述芯片相应的芯片类型,端口和连接的总线,配置并生所述配置文件。
进一步的,所述根据所述芯片相应的芯片类型,端口和连接的总线,配置并生所述配置文件具体包括:
根据所述芯片的类型,提取相应的预设驱动;
编辑所述预设驱动,指定与所述芯片通信的端口,指定所述芯片通信的总线协议,以生成所述配置文件。
为了解决上述技术问题,本申请实施例还提供一种……,采用了如下所述的技术方案:
一种测控固件生成装置,包括:
器件确定模块,用于根据测试过程中需要使用到的芯片和总线,确定与芯片和总线的数量和种类相应的预设的控制模块;
FPGA固件编译模块,用于编辑所述控制模块,并且对所述控制模块编译,以生成FPGA固件;
配置文件确定模块,用于根据所述芯片和总线之间的电连接关系,确定设备树文件及预设驱动程序的配置文件;
打包模块,用于将所述FPGA固件、设备数文件及驱动程序打包为测控固件。
进一步的,所述FPGA固件编译模块具体包括:
设置信息获取子模块,用于获取所述控制模块对应的设置信息,所述设置信息包括地址和/或IO引脚;
TCL文件生成子模块,用于根据所述设置信息和对控制模块分配的FPGA模块的管脚,生成与所述控制模块对应的TCL文件;
编译子模块,用于将所述TCL文件编译成FPGA固件。
进一步的,所述配置文件确定模块具体包括:
连接配置确定子模块,用于根据所述FPGA模快、芯片和总线之间的电连接关系,确定相应芯片连接的总线,以及芯片端口;
配置子模块,用于根据所述芯片相应的芯片类型,端口和连接的总线,配置并生所述配置文件。
为了解决上述技术问题,本申请实施例还提供一种计算机设备,采用了如下所述的技术方案:
一种计算机设备,包括存储器和处理器,所述存储器中存储有计算机程序,所述处理器执行所述计算机程序时实现如上所述的一种测控固件生成方法的步骤。
为了解决上述技术问题,本申请实施例还提供一种计算机可读存储介质,采用了如下所述的技术方案:
一种计算机可读存储介质,其特征在于,所述计算机可读存储介质上存储有计算机程序,所述计算机程序被处理器执行时实现如上所述的一种测控固件生成方法的步骤。
与现有技术相比,本申请实施例主要有以下有益效果:通过将测试过程中需要用到的总线和芯片模块化,并且与预设的控制模块相对应,对预设的控制模块进行编译以生成FPGA固件,之后,根据总线和芯片之间的连接关系配置总线和芯片对应的驱动程序的配置文件,之后将FPGA固件和驱动程序,以及描述测试过程中所需总线和芯片的设备树文件打包为测控固件。该方案能够根据测试过程中需要用到的总线以及芯片以及连接结构,快速编写测控固件,测控固件编写效率高。
附图说明
为了更清楚地说明本申请中的方案,下面将对本申请实施例描述中所需要使用的附图作一个简单介绍,显而易见地,下面描述中的附图是本申请的一些实施例,对于本领域普通技术人员来讲,在不付出创造性劳动的前提下,还可以根据这些附图获得其他的附图。
图1是根据本申请的一种测控固件生成方法的一个实施例的流程图;
图2是图1中步骤S200的流程图;
图3是图2中步骤S202的流程图;
图4是图1中步骤S300的流程图;
图5是图4中步骤S302的流程图;
图6是根据本申请的一种测控固件生成装置的一个实施例的结构示意图;
图7是根据本申请的计算机设备的一个实施例的结构示意图。
具体实施方式
除非另有定义,本文所使用的所有的技术和科学术语与属于本申请的技术领域的技术人员通常理解的含义相同;本文中在申请的说明书中所使用的术语只是为了描述具体的实施例的目的,不是旨在于限制本申请;本申请的说明书和权利要求书及上述附图说明中的术语“包括”和“具有”以及它们的任何变形,意图在于覆盖不排他的包含。本申请的说明书和权利要求书或上述附图中的术语“第一”、“第二”等是用于区别不同对象,而不是用于描述特定顺序。
在本文中提及“实施例”意味着,结合实施例描述的特定特征、结构或特性可以包含在本申请的至少一个实施例中。在说明书中的各个位置出现该短语并不一定均是指相同的实施例,也不是与其它实施例互斥的独立的或备选的实施例。本领域技术人员显式地和隐式地理解的是,本文所描述的实施例可以与其它实施例相结合。
为了使本技术领域的人员更好地理解本申请方案,下面将结合附图,对本申请实施例中的技术方案进行清楚、完整地描述。
参考图1至图5,示出了根据本申请的一种测控固件生成方法的一个实施例的流程图。所述的一种测控固件生成方法,包括以下步骤:
步骤S100:根据测试过程中需要使用到的芯片和总线,确定与芯片和总线的数量和种类相应的预设的控制模块;
具体的,该测控固件应用的测试环境通常采用如下的物理结构,包括FPGA集成电路、芯片以及连接FPGA集成电路和各个外接芯片的总线,其中,芯片具有一定预设功能,包括设置在外接板卡以实现信号接收等具体功能的芯片,比如dmm001万用表芯片;以及对FPGA集成电路辅助的外接芯片,比如用于帮助FPGA集成电路连接和管理多快外接板卡的TCA9548芯片,以及用于在I2C总线上,控制两个GPIO端口进数据收发的CAT9555芯片。这些芯片可以是与FPGA集成电路集成在一块电路板上的,也可以是物理上,独立出的外接板卡,并且芯片通过总线与FPGA集成电路进行通信。FPGA集成电路上,运行有驱动程序,以实现通过总线与各个芯片建立通信,还运行有FPGA固件,以调用各个芯片,获取相应的信息。
用户通过预设的FPGA集成电路上的软件接口,通过高级语言对FPGA集成电路实现控制,以调用整个测试测试环境。
根据测试环境具体所涉及的任务,确定测试环境所需要的芯片以及应用的总线,其中测试环境所具体使用到的芯片和总线,可以通过用户指定,也可以通过硬件识别和预设指定等其他手段。之后根据使用到的芯片以及总线,确定使用的控制模块,控制模块包括了对相应的芯片和总线进行控制的FPGA固件程序,FPGA固件程序预先编写,但是需要根据测试环境进行编辑,才能实现在测试环境中的使用,在一种实施例当中,预设的控制模块存储在相应的芯片当中,在确定预设的控制模块的过程当中,将预设的控制模块,从芯片所在的外接板卡,通过总线提取到存储器中使用。
步骤S200:编辑所述控制模块,并且对所述控制模块编译,以生成FPGA固件;
具体的,编辑提取的预设的控制模块,使其能够适应当前的测试环境,之后对编辑过后的控制模块的内容进行编译,以生成FPGA固件。
步骤S300:根据所述芯片和总线之间的电连接关系,确定设备树文件及预设驱动程序的配置文件;
具体的,芯片以及板卡的驱动程序可以预设,但是根据不同测试环境的硬件结构不同,需要对其进行配置才能在相应的测试环境之下使用,具体根据芯片和总线之间的连接关系,修改预设驱动程序的配置文件,以指定电信号的运行通路,实现驱动程序的生成。
步骤S400:将所述FPGA固件、设备树文件及驱动程序打包为测控固件。
将FPGA固件、设备树文件及驱动程序打包得到完整的测控固件,以控制当前测试环境。该方案能够根据测试过程中需要用到的总线以及芯片以及连接结构,快速编写测控固件,测控固件编写效率高。
进一步的,所述步骤S200:编辑所述控制模块,并且对所述控制模块编译,以生成FPGA固件的步骤具体包括:
步骤S201:获取所述控制模块对应的设置信息,所述设置信息包括控制模块上的功能对应的引脚信息;
具体的,控制模块中存储有控制模块包含的功能,并且记录有这些功能相关的引脚信息,比如,输入输出数据功能对应的引脚,输入输出控制信号功能对应的引脚,输入输出时钟信号功能对应的引脚等,芯片上设置有与外界通信的引脚,芯片在控制模块的控制下运算并通过引脚与外界通信实现西芯片的功能。
步骤S202:根据所述设置信息和对控制模块分配的FPGA模块的管脚,生成与所述控制模块对应的TCL文件;
具体的,最终生成的FPGA固件运行在FPGA模块上,在一种实施例当中,FPGA模块是集成电路,具有若干个管脚,这些管脚通过使用者的定义进行信号的收发,通过将FPGA模块上的管脚与控制模块上的引脚对应,进行信息的收发,使得FPGA模块与控制模块对应的芯片通信连接。根据设置信息和对控制模块分配的FPGA模块的管脚调整控制模块,之后生成TCL格式的脚本文件。
步骤S203:将所述TCL文件编译成FPGA固件。
对TCL文件进行编译,以生成最终的二进制FPGA固件。该方案通过调取和芯片对应的预设的控制模块,并且修改控制模块的内容使其能够在测试环境下工作简化对单个芯片以及总线进行FPGA固件的变成操作,并且FPGA固件的编写过程对使用者透明,提升了FPGA固件的编写效率。
进一步的,所述步骤S202:根据所述设置信息和对控制模块分配的FPGA模块的管脚,生成与所述控制模块对应的TCL文件,具体包括:
步骤S2021:读取所述控制模块的至少一个引脚和所述引脚对应的功能;
具体的,控制模块的功能及与控制模块的引脚的对应关系,通过文件记录预设。
步骤S2022:将所述引脚与FPGA模块上的管脚相对应;
对控制模块的引脚分配FPGA模块上的管脚,使得FPGA模块通过相应的管脚与控制模块通信。
步骤S2023:根据所述引脚对应的功能以及引脚和管脚的对应关系生成用于控制所述控制模块的脚本语句,并且生成对应的TCL文件。
具体通过控制模块的功能,功能对应的引脚,以及对引脚分配的FPGA模块上的管脚,调整控制模块,之后对调整过后的控制模块生成TCL文件。该方案能够简化TCL文件的生成,并且TCL文件的编程过程对用户透明,TCL文件的生成效率高。
进一步的,所述步骤S300:根据所述芯片和总线之间的电连接关系,确定设备树文件及预设驱动程序的配置文件中,所述配置文件的确定方法具体包括:
步骤S301:根据所述FPGA模快、芯片和总线之间的电连接关系,确定相应芯片连接的总线,以及芯片端口;
具体的,FPGA模快通过芯片和总线通信所需的ARM驱动程序,预先设置,并且存储在测试环境提供的存储器当中,根据配置文件运行,根据FPGA模块、芯片和总线之间的连接关系,编辑配置文件,以适应当前的测试环境。
步骤S302:根据所述芯片相应的芯片类型,端口和连接的总线,配置并生所述配置文件。
根据芯片的类型,调取相应的驱动程序,并且配置相应的配置文件,使得驱动程序适应当前的测试环境。该方案简化驱动程序的编写过程,并且驱动程序的编程过程对用户透明,驱动程序的生成效率高。
进一步的,所述步骤S302:根据所述芯片相应的芯片类型,端口和连接的总线,配置并生所述配置文件具体包括:
步骤S3021:根据所述芯片的类型,提取相应的预设驱动;
步骤S3022:编辑所述预设驱动,以指定与所述芯片通信的端口,,指定所述芯片通信的总线协议,以生成所述配置文件。
该方案驱动程序的生成效率高。
在本实施例中,一种测控固件生成方法运行于其上的电子设备(例如图1所示的服务器/终端设备)可以通过有线连接方式或者无线连接方式********接收请求。需要指出的是,上述无线连接方式可以包括但不限于3G/4G连接、WiFi连接、蓝牙连接、WiMAX连接、Zigbee连接、UWB(ultra wideband)连接、以及其他现在已知或将来开发的无线连接方式。
本领域普通技术人员可以理解实现上述实施例方法中的全部或部分流程,是可以通过计算机程序来指令相关的硬件来完成,该计算机程序可存储于一计算机可读取存储介质中,该程序在执行时,可包括如上述各方法的实施例的流程。其中,前述的存储介质可为磁碟、光盘、只读存储记忆体(Read-Only Memory,ROM)等非易失性存储介质,或随机存储记忆体(Random Access Memory,RAM)等。
应该理解的是,虽然附图的流程图中的各个步骤按照箭头的指示依次显示,但是这些步骤并不是必然按照箭头指示的顺序依次执行。除非本文中有明确的说明,这些步骤的执行并没有严格的顺序限制,其可以以其他的顺序执行。而且,附图的流程图中的至少一部分步骤可以包括多个子步骤或者多个阶段,这些子步骤或者阶段并不必然是在同一时刻执行完成,而是可以在不同的时刻执行,其执行顺序也不必然是依次进行,而是可以与其他步骤或者其他步骤的子步骤或者阶段的至少一部分轮流或者交替地执行。
进一步参考图6,作为对上述图2所示方法的实现,本申请提供了一种测控固件生成装置的一个实施例,该装置实施例与图2所示的方法实施例相对应,该装置具体可以应用于各种电子设备中。
如图6所示,本实施例所述的一种测控固件生成装置包括:
器件确定模块100,用于根据测试过程中需要使用到的芯片和总线,确定与芯片和总线的数量和种类相应的预设的控制模块;
FPGA固件编译模块200,用于编辑所述控制模块,并且对所述控制模块编译,以生成FPGA固件;
配置文件确定模块300,用于根据所述芯片和总线之间的电连接关系,确定设备树文件及预设驱动程序的配置文件;
打包模块400,用于将所述FPGA固件、设备数文件及驱动程序打包为测控固件。
将FPGA固件、设备树文件及驱动程序打包得到完整的测控固件,以控制当前测试环境。该方案能够根据测试过程中需要用到的总线以及芯片以及连接结构,快速编写测控固件,测控固件编写效率高。
进一步的,所述FPGA固件编译模块200具体包括:
设置信息获取子模块201,用于获取所述控制模块对应的设置信息,所述设置信息包括地址和/或IO引脚;
TCL文件生成子模块202,用于根据所述设置信息和对控制模块分配的FPGA模块的管脚,生成与所述控制模块对应的TCL文件;
编译子模块203,用于将所述TCL文件编译成FPGA固件。
方案通过调取和芯片对应的预设的控制模块,并且修改控制模块的内容使其能够在测试环境下工作简化对单个芯片以及总线进行FPGA固件的变成操作,并且FPGA固件的编写过程对使用者透明,提升了FPGA固件的编写效率。
进一步的,所述TCL文件生成子模块202,具体包括:
控制模块提取子模块2021,用于读取所述控制模块的至少一个引脚和所述引脚对应的功能;
连接子模块2022,用于将所述引脚与FPGA模块上的管脚相对应;
二进制数据生成子模块2023,用于根据所述引脚对应的功能以及引脚和管脚的对应关系生成用于控制所述控制模块的脚本语句,并且生成对应的TCL文件。
该方案能够简化TCL文件的生成,并且TCL文件的编程过程对用户透明,TCL文件的生成效率高。
进一步的,所述配置文件确定模块300具体包括:
连接配置确定子模块301,用于根据所述FPGA模快、芯片和总线之间的电连接关系,确定相应芯片连接的总线,以及芯片端口;
配置子模块302,用于根据所述芯片相应的芯片类型,端口和连接的总线,配置并生所述配置文件。
该方案简化驱动程序的编写过程,并且驱动程序的编程过程对用户透明,驱动程序的生成效率高。
进一步的,所述配置子模块302,具体包括:
预设驱动提取子模块3021,用于根据所述芯片的类型,提取相应的预设驱动;
配置文件编辑子模块3022,编辑所述预设驱动,指定与所述芯片通信的端口,指定所述芯片通信的总线协议,以生成所述配置文件。
该方案驱动程序的生成效率高。
为解决上述技术问题,本申请实施例还提供计算机设备。具体请参阅图7,图7为本实施例计算机设备基本结构框图。
所述计算机设备7包括通过系统总线相互通信连接存储器61、处理器62、网络接口63。需要指出的是,图中仅示出了具有组件61-63的计算机设备6,但是应理解的是,并不要求实施所有示出的组件,可以替代的实施更多或者更少的组件。其中,本技术领域技术人员可以理解,这里的计算机设备是一种能够按照事先设定或存储的指令,自动进行数值计算和/或信息处理的设备,其硬件包括但不限于微处理器、专用集成电路(ApplicationSpecific Integrated Circuit,ASIC)、可编程门阵列(Field-Programmable GateArray,FPGA)、数字处理器(Digital Signal Processor,DSP)、嵌入式设备等。
所述计算机设备可以是桌上型计算机、笔记本、掌上电脑及云端服务器等计算设备。所述计算机设备可以与用户通过键盘、鼠标、遥控器、触摸板或声控设备等方式进行人机交互。
所述存储器61至少包括一种类型的可读存储介质,所述可读存储介质包括闪存、硬盘、多媒体卡、卡型存储器(例如,SD或DX存储器等)、随机访问存储器(RAM)、静态随机访问存储器(SRAM)、只读存储器(ROM)、电可擦除可编程只读存储器(EEPROM)、可编程只读存储器(PROM)、磁性存储器、磁盘、光盘等。在一些实施例中,所述存储器61可以是所述计算机设备6的内部存储单元,例如该计算机设备6的硬盘或内存。在另一些实施例中,所述存储器61也可以是所述计算机设备6的外部存储设备,例如该计算机设备6上配备的插接式硬盘,智能存储卡(Smart Media Card,SMC),安全数字(Secure Digital,SD)卡,闪存卡(FlashCard)等。当然,所述存储器61还可以既包括所述计算机设备6的内部存储单元也包括其外部存储设备。本实施例中,所述存储器61通常用于存储安装于所述计算机设备6的操作系统和各类应用软件,例如一种测控固件生成方法的程序代码等。此外,所述存储器61还可以用于暂时地存储已经输出或者将要输出的各类数据。
所述处理器62在一些实施例中可以是中央处理器(Central Processing Unit,CPU)、控制器、微控制器、微处理器、或其他数据处理芯片。该处理器62通常用于控制所述计算机设备6的总体操作。本实施例中,所述处理器62用于运行所述存储器61中存储的程序代码或者处理数据,例如运行所述一种测控固件生成方法的程序代码。
所述网络接口63可包括无线网络接口或有线网络接口,该网络接口63通常用于在所述计算机设备6与其他电子设备之间建立通信连接。
本申请还提供了另一种实施方式,即提供一种计算机可读存储介质,所述计算机可读存储介质存储有一种测控固件生成程序,所述一种测控固件生成程序可被至少一个处理器执行,以使所述至少一个处理器执行如上述的一种测控固件生成方法的步骤。
通过以上的实施方式的描述,本领域的技术人员可以清楚地了解到上述实施例方法可借助软件加必需的通用硬件平台的方式来实现,当然也可以通过硬件,但很多情况下前者是更佳的实施方式。基于这样的理解,本申请的技术方案本质上或者说对现有技术做出贡献的部分可以以软件产品的形式体现出来,该计算机软件产品存储在一个存储介质(如ROM/RAM、磁碟、光盘)中,包括若干指令用以使得一台终端设备(可以是手机,计算机,服务器,空调器,或者网络设备等)执行本申请各个实施例所述的方法。
显然,以上所描述的实施例仅仅是本申请一部分实施例,而不是全部的实施例,附图中给出了本申请的较佳实施例,但并不限制本申请的专利范围。本申请可以以许多不同的形式来实现,相反地,提供这些实施例的目的是使对本申请的公开内容的理解更加透彻全面。尽管参照前述实施例对本申请进行了详细的说明,对于本领域的技术人员来而言,其依然可以对前述各具体实施方式所记载的技术方案进行修改,或者对其中部分技术特征进行等效替换。凡是利用本申请说明书及附图内容所做的等效结构,直接或间接运用在其他相关的技术领域,均同理在本申请专利保护范围之内。

Claims (8)

1.一种测控固件生成方法,其特征在于,包括下述步骤:
根据测试过程中需要使用到的芯片和总线,确定与芯片和总线的数量和种类相应的预设的控制模块;
编辑所述控制模块,并且对所述控制模块编译,以生成FPGA固件;
根据所述芯片和总线之间的电连接关系,确定设备树文件及预设驱动程序的配置文件;
将所述FPGA固件、设备树文件及驱动程序打包为测控固件;
其中,所述编辑所述控制模块,并且对所述控制模块编译,以生成FPGA固件的步骤具体包括:
获取所述控制模块对应的设置信息,所述设置信息包括控制模块上的功能对应的引脚信息;
根据所述设置信息和对控制模块分配的FPGA模块的管脚,生成与所述控制模块对应的TCL文件;
将所述TCL文件编译成FPGA固件。
2.根据权利要求1所述的一种测控固件生成方法,其特征在于:所述根据所述设置信息和对控制模块分配的FPGA模块的管脚,生成与所述控制模块对应的TCL文件,具体包括:
读取所述控制模块的至少一个引脚和所述引脚对应的功能;
将所述引脚与FPGA模块上的管脚相对应;
根据所述引脚对应的功能以及引脚和管脚的对应关系生成用于控制所述控制模块的脚本语句,并且生成对应的TCL文件。
3.根据权利要求2所述的一种测控固件生成方法,其特征在于,所述步骤根据所述芯片和总线之间的电连接关系,确定设备树文件及预设驱动程序的配置文件中,所述配置文件的确定方法具体包括:
根据所述FPGA模快、芯片和总线之间的电连接关系,确定相应芯片连接的总线,以及芯片端口;
根据所述芯片相应的芯片类型,端口和连接的总线,配置并生所述配置文件。
4.根据权利要求3所述的一种测控固件生成方法,其特征在于,所述根据所述芯片相应的芯片类型,端口和连接的总线,配置并生所述配置文件具体包括:
根据所述芯片的类型,提取相应的预设驱动;
编辑所述预设驱动,指定与所述芯片通信的端口,指定所述芯片通信的总线协议,以生成所述配置文件。
5.一种测控固件生成装置,其特征在于,包括:
器件确定模块,用于根据测试过程中需要使用到的芯片和总线,确定与芯片和总线的数量和种类相应的预设的控制模块;
FPGA固件编译模块,用于编辑所述控制模块,并且对所述控制模块编译,以生成FPGA固件;
配置文件确定模块,用于根据所述芯片和总线之间的电连接关系,确定设备树文件及预设驱动程序的配置文件;
打包模块,用于将所述FPGA固件、设备数文件及驱动程序打包为测控固件;
所述FPGA固件编译模块具体包括:
设置信息获取子模块,用于获取所述控制模块对应的设置信息,所述设置信息包括地址和/或IO引脚;
TCL文件生成子模块,用于根据所述设置信息和对控制模块分配的FPGA模块的管脚,生成与所述控制模块对应的TCL文件;
编译子模块,用于将所述TCL文件编译成FPGA固件。
6.根据权利要求5所述的一种测控固件生成装置,其特征在于,所述配置文件确定模块具体包括:
连接配置确定子模块,用于根据所述FPGA模快、芯片和总线之间的电连接关系,确定相应芯片连接的总线,以及芯片端口;
配置子模块,用于根据所述芯片相应的芯片类型,端口和连接的总线,配置并生所述配置文件。
7.一种计算机设备,包括存储器和处理器,所述存储器中存储有计算机程序,所述处理器执行所述计算机程序时实现如权利要求1至4中任一项所述的一种测控固件生成方法的步骤。
8.一种计算机可读存储介质,其特征在于,所述计算机可读存储介质上存储有计算机程序,所述计算机程序被处理器执行时实现如权利要求1至4中任一项所述的一种测控固件生成方法的步骤。
CN202110182024.3A 2021-02-09 2021-02-09 一种测控固件生成方法、装置、计算机设备及存储介质 Active CN112860237B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202110182024.3A CN112860237B (zh) 2021-02-09 2021-02-09 一种测控固件生成方法、装置、计算机设备及存储介质

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202110182024.3A CN112860237B (zh) 2021-02-09 2021-02-09 一种测控固件生成方法、装置、计算机设备及存储介质

Publications (2)

Publication Number Publication Date
CN112860237A CN112860237A (zh) 2021-05-28
CN112860237B true CN112860237B (zh) 2021-12-28

Family

ID=75989598

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202110182024.3A Active CN112860237B (zh) 2021-02-09 2021-02-09 一种测控固件生成方法、装置、计算机设备及存储介质

Country Status (1)

Country Link
CN (1) CN112860237B (zh)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104050068A (zh) * 2014-05-23 2014-09-17 北京兆易创新科技股份有限公司 在mcu芯片中调试fpga的方法和装置
US10489534B1 (en) * 2017-09-07 2019-11-26 Cadence Design Systems, Inc. Support for multiple user defined assertion checkers in a multi-FPGA prototyping system
CN112306506A (zh) * 2020-06-28 2021-02-02 神州融安科技(北京)有限公司 计算机程序的烧录方法、装置、电子设备及存储介质

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104050067B (zh) * 2014-05-23 2016-01-27 北京兆易创新科技股份有限公司 Fpga在mcu芯片中工作的方法和装置
CN107908418B (zh) * 2017-12-12 2021-03-30 上海赛治信息技术有限公司 光纤通道节点卡的逻辑程序升级方法及光纤通道总线设备

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104050068A (zh) * 2014-05-23 2014-09-17 北京兆易创新科技股份有限公司 在mcu芯片中调试fpga的方法和装置
US10489534B1 (en) * 2017-09-07 2019-11-26 Cadence Design Systems, Inc. Support for multiple user defined assertion checkers in a multi-FPGA prototyping system
CN112306506A (zh) * 2020-06-28 2021-02-02 神州融安科技(北京)有限公司 计算机程序的烧录方法、装置、电子设备及存储介质

Also Published As

Publication number Publication date
CN112860237A (zh) 2021-05-28

Similar Documents

Publication Publication Date Title
CN103064403B (zh) 一种ecu硬件在环仿真自动化测试方法和系统
CN107168275B (zh) 一种继电保护功能模块自动识别配置方法及装置
CN113010188B (zh) 模块化测控系统生成方法、装置、计算机设备及存储器
EP4390673A1 (en) Ecu upgrade method and device, and readable storage medium
CN106933754A (zh) 一种usb驱动识别方法及装置
CN110659210A (zh) 一种信息获取方法、装置、电子设备及存储介质
CN115455900A (zh) 信号路径自动提取方法、系统、设备和存储介质
CN112996020A (zh) 一种基于蓝牙的自动化测试方法、装置及蓝牙测试终端
CN114818594A (zh) 一种自动布线及命名方法、装置、设备及介质
CN114048415A (zh) 表单生成方法及装置、电子设备和计算机可读存储介质
CN117033249B (zh) 一种测试用例生成方法、装置、计算机设备及存储介质
CN112860237B (zh) 一种测控固件生成方法、装置、计算机设备及存储介质
CN112882715B (zh) 一种测控装置定义方法、计算机及可定义的测控装置
CN106095459B (zh) 一种自动完成嵌入式软件库的挑选与特化的实现方法
CN116974929A (zh) 自动化测试工具构建方法、自动化测试方法及其相关设备
CN113010177B (zh) 软件定义的仪器和信息采集方法、计算机、存储介质
CN115903747A (zh) 一种数据标定方法、装置、设备及存储介质
CN111027196B (zh) 一种电力设备的仿真分析任务处理方法、装置及存储介质
CN114253642B (zh) 系统信息处理方法、装置、电子设备及介质
CN108334313A (zh) 用于大型soc研发的持续集成方法、装置及代码管理系统
CN114258012A (zh) Esim号码的预制方法及esim虚拟系统、设备及存储介质
CN103902298A (zh) 一种指令集固件刷写状态信息的设置方法和装置
CN109471383A (zh) 时序控制方法、控制终端、受控设备及时序控制系统
CN116661859B (zh) 一种mcu外设电路模块的驱动方法、装置、终端设备
CN111897774B (zh) 一种文件上传方法、装置、电子设备及存储介质

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant