CN112585457A - 用于气体感测的系统、装置和方法 - Google Patents

用于气体感测的系统、装置和方法 Download PDF

Info

Publication number
CN112585457A
CN112585457A CN201980050247.5A CN201980050247A CN112585457A CN 112585457 A CN112585457 A CN 112585457A CN 201980050247 A CN201980050247 A CN 201980050247A CN 112585457 A CN112585457 A CN 112585457A
Authority
CN
China
Prior art keywords
layer
cnfet
gas
sensing
monolithic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980050247.5A
Other languages
English (en)
Inventor
M·舒拉克
M·D·毕晓普
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Massachusetts Institute of Technology
Original Assignee
Massachusetts Institute of Technology
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Massachusetts Institute of Technology filed Critical Massachusetts Institute of Technology
Publication of CN112585457A publication Critical patent/CN112585457A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N27/00Investigating or analysing materials by the use of electric, electrochemical, or magnetic means
    • G01N27/26Investigating or analysing materials by the use of electric, electrochemical, or magnetic means by investigating electrochemical variables; by using electrolysis or electrophoresis
    • G01N27/403Cells and electrode assemblies
    • G01N27/414Ion-sensitive or chemical field-effect transistors, i.e. ISFETS or CHEMFETS
    • G01N27/4141Ion-sensitive or chemical field-effect transistors, i.e. ISFETS or CHEMFETS specially adapted for gases
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N27/00Investigating or analysing materials by the use of electric, electrochemical, or magnetic means
    • G01N27/26Investigating or analysing materials by the use of electric, electrochemical, or magnetic means by investigating electrochemical variables; by using electrolysis or electrophoresis
    • G01N27/403Cells and electrode assemblies
    • G01N27/414Ion-sensitive or chemical field-effect transistors, i.e. ISFETS or CHEMFETS
    • G01N27/4146Ion-sensitive or chemical field-effect transistors, i.e. ISFETS or CHEMFETS involving nanosized elements, e.g. nanotubes, nanowires
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N33/00Investigating or analysing materials by specific methods not covered by groups G01N1/00 - G01N31/00
    • G01N33/48Biological material, e.g. blood, urine; Haemocytometers
    • G01N33/483Physical analysis of biological material
    • G01N33/497Physical analysis of biological material of gaseous biological material, e.g. breath
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N33/00Investigating or analysing materials by specific methods not covered by groups G01N1/00 - G01N31/00
    • G01N33/48Biological material, e.g. blood, urine; Haemocytometers
    • G01N33/483Physical analysis of biological material
    • G01N33/497Physical analysis of biological material of gaseous biological material, e.g. breath
    • G01N33/4977Metabolic gas from microbes, cell cultures or plant tissues

Landscapes

  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Molecular Biology (AREA)
  • Physics & Mathematics (AREA)
  • General Health & Medical Sciences (AREA)
  • Pathology (AREA)
  • Immunology (AREA)
  • General Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Electrochemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Nanotechnology (AREA)
  • Biomedical Technology (AREA)
  • Biophysics (AREA)
  • Hematology (AREA)
  • Urology & Nephrology (AREA)
  • Food Science & Technology (AREA)
  • Medicinal Chemistry (AREA)
  • Investigating Or Analyzing Materials By The Use Of Fluid Adsorption Or Reactions (AREA)
  • Investigating Or Analyzing Materials By The Use Of Electric Means (AREA)

Abstract

一种单片三维(3D)集成电路(IC)装置包括感测层、存储器层和处理层。所述感测层包括多个碳纳米管场效应晶体管(CNFET),所述碳纳米管场效应晶体管用至少50种功能材料功能化以响应于暴露于气体而生成数据。所述存储器层存储由所述多个CNFET生成的数据,并且所述处理层基于由所述多个CNFET生成的数据识别所述气体的一种或多种组分。

Description

用于气体感测的系统、装置和方法
相关申请的交叉引用
本申请根据35 U.S.C.§119(e)要求2018年6月8日提交的美国申请第62/682,497号的优先权权益,所述申请的公开内容以全文引用的方式并入本文中。
技术领域
背景技术
气体检测对于从医疗保健(例如,基于呼吸分析的即时诊断)到威胁检测(例如,发现隐藏的爆炸物或化学武器)再到毒素检测(例如,检测芬太尼,一种极其强效和危险的合成阿片类药物)等各种具有影响力的应用都至关重要。然而,这些应用如今给常规感测系统带来了重大挑战。目前先进的气相色谱和质谱系统体积大、功率高(即,不可穿戴或手持)并且价格昂贵。
替代地,开发经设计以与特定威胁反应的高度特异性便携式气体传感器需要:(1)精密化学(其不可扩展以检测任意毒素),(2)针对每种毒素(或相同毒素的类似物)的专用传感器和硬件,以及(3)先验威胁知识(例如,只能检测出所选传感器经设计所要检测的物质,因此无法检测出意外或未知的毒素/类似物)。此外,虽然犬类通常是有效的,但其(1)需要大量训练,并且(2)使犬类和畜管员暴露于危险环境中。“电子鼻”是一个强大的概念,但目前设想还不充分(例如,芯片上的传感器数量和宽度有限,并且其会在没有嵌入式本地数据处理的情况下会产生“数据洪流”)。
发明内容
在一些方面,一种装置包括感测层,其包含多个碳纳米管场效应晶体管(CNFET),所述碳纳米管场效应晶体管用一组功能材料功能化以基于至少一部分气体来生成数据。所述多个CNFET中的每个CNFET用所述功能材料组的功能材料功能化,并且所述功能材料组包括至少50种功能材料。所述装置还包括存储器层,其可操作地耦接到所述感测层以存储由所述多个CNFET生成的数据。所述装置还包括处理层,其可操作地耦接到所述存储器层,以基于由所述CNFET生成的所述数据识别所述气体的一种或多种组分。
在一些方面,一种方法包括用一组功能材料功能化多个碳纳米管场效应晶体管(CNFET)。所述多个CNFET中的每个CNFET用所述功能材料组的功能材料功能化,并且所述功能材料组包括至少50种功能材料。所述方法还包括将存储器层耦接到感测层,以存储由所述多个CNFET生成的数据。所述方法进一步包括将处理层耦接到存储器层以基于由多个CNFET生成的数据识别气体的一种或多种组分。
在一些方面,一种方法包括诊断呼吸机相关性肺炎(VAP),并且包含培养来自VAP易感患者的细菌,并且用装置检测由所述细菌释放的至少一种挥发性有机化合物(VOC)。所述装置包括感测层,其包含多个碳纳米管场效应晶体管(CNFET),所述碳纳米管场效应晶体管用一组功能材料功能化以用于检测至少一种VOC。所述多个CNFET中的每个CNFET用所述功能材料组的功能材料功能化,并且所述功能材料组包括至少50种功能材料。所述装置包括可操作地耦接到所述感测层的存储器层,以及可操作地耦接到所述存储器层的处理层。所述方法进一步包括基于至少一种VOC将患者诊断为患有VAP。
在一些方面,一种单片三维(3D)集成电路(IC)包括感测层,其包含多个碳纳米管场效应晶体管(CNFET),所述碳纳米管场效应晶体管用一组功能材料功能化以用于感测气体。所述功能材料组包括至少50种功能材料。所述装置还包括存储器层,其可操作地耦接到所述感测层以存储由所述多个CNFET生成的数据。所述装置进一步包括处理层,其可操作地耦接到所述存储器层,以基于由所述CNFET生成的所述数据识别所述气体。
在一些方面,一种诊断呼吸机相关性肺炎(VAP)的方法包括感测患者的呼气,并且基于患者的呼气将患者诊断为患有VAP。
在一些方面,一种系统包括用于将空气循环到用户的呼吸机,以及耦接到所述呼吸机以接收由用户呼出的空气的装置。所述装置包括感测层,其包含多个碳纳米管场效应晶体管(CNFET),所述碳纳米管场效应晶体管用一组功能材料功能化以基于至少一部分气体来生成数据。所述多个CNFET中的每个CNFET用所述功能材料组的功能材料功能化,并且所述功能材料组包括至少50种功能材料。所述装置还包括存储器层,其可操作地耦接到所述感测层以存储由所述多个CNFET生成的数据。所述装置进一步包括处理层,其可操作地耦接到所述存储器层,以基于由所述CNFET生成的所述数据识别所述气体的一种或多种组分。
前述概念和下文更详细论述的附加概念的所有组合(假设此类概念不会相互矛盾)为本文所公开的本发明主题的一部分。确切地说,本公开结尾出现的所要求主题的所有组合均为本文中所公开的本发明主题的一部分。还可能出现在通过引用并入的任何公开内容中的本文所使用的术语应被赋予与本文所公开的特定概念最一致的含义。
附图说明
本领域的技术人员将理解附图主要是用于说明性目的且并非意图对本文所述的发明性标的物的范围进行限制。附图未必按比例绘制;在一些情况下,本文公开的发明主题的各个方面可在图中夸大或放大地示出以助于理解不同特征。在图式中,类似的参考标号通常指类似的特征(例如,功能上类似和/或结构上类似的元件)。
本专利或申请文件含有至少一张彩色附图。具有彩色附图的此专利或专利申请公开的复本将在请求和支付必需费用之后由专利局提供。
图1示出单片3D智能感测系统的示意图,所述系统由数百万个独特的碳纳米管场效应晶体管(CNFET)气体传感器构成,所述气体传感器直接在存储器和计算上制造,所有传感器均通过细粒度和密集的垂直互连件致密集成。由于大规模并行感测的密集集成,此系统每秒可捕获百万兆字节的信息,并且通过嵌入式计算将其转换为处理信息(例如芬太尼分类),所述嵌入式计算仍可在第一层利用硅CMOS。
图2示出由CNFET气体传感器阵列生成的实验数据。每个像素为单个CNFET气体传感器的响应(为清楚起见,数字化为二进制值)。这两种图案是CNFET气体阵列暴露于两种不同气体时的响应。所述系统通过将先前习得的图案与测量的图案进行匹配来对气体进行分类。嵌入式学习预测(具有已知的不确定性)未知气体(或气体的复杂组合)是否看起来与先前已知的气体(或气体的复杂组合)属于同一“类别”。
图3A示出单独的CNFET。
图3B示出具有两个CNFET的CNFET传感器:用共轭分子功能化底部CNFET,将底部CNFET转变为CNFET气体传感器。主要感测机构为与功能材料(例如,共轭分子和/或通常涂布CNFET的任何材料)相互作用的不同气体;此相互作用改变功能化-纳米管分子间力,从而引起CNT的电子特性发生局部变化,进而引起CNFET漏极电流发生变化。
图4A示出单独的电阻式随机存取存储器(RRAM)单元的示意图。RRAM是一种用于密集片上数据存储的非易失性节能存储器技术,可在<200℃下制造,并且因此与单片3D集成兼容。
图4B示出1兆位RRAM存储器阵列的子区段。
图5示出芯片堆叠(左),其中稀疏的穿硅通孔(TSV)连接垂直层,而单片3D(右)具有连接垂直层的超密集层间通孔(ILV)。由于CNFET和RRAM的低温制造,可通过将CNFET和RRAM用于存储器、逻辑和感测的上层来自然地启用单片3D。
图6示出CNFET气体传感器对不同气体(列)和不同传感器(行)的响应图案。每个响应图案内的每个像素表示不同偏置条件的CNFET漏极电流。同一传感器针对每种气体生成独特的响应图案,而伴随不同功能化的CNFET则以独特的图案进行响应。因此,数千到数百万个独特的气体传感器,每个传感器能够相对于偏置条件生成独特的图案,从而生成巨大的超维度感测空间。
图7A示出100mm的晶片,其中已制造有三维(3D)集成电路(IC)。
图7B展示在图7A的晶片中制造的3D IC中的一个。
图7C示出3D IC(比例尺=100nm)的横截面透射电子显微镜(TEM)图像。
图7D是由3D IC获取的用来自不同家用液体的蒸气的原始数据生成的主要组分分析图。
图8示出图1的单片3D智能感测系统,所述系统经配置成检测患者呼吸中的挥发性有机化合物(VOC)的电子鼻以用于诊断呼吸机辅助性肺炎(VAP)。
图9A示出集成在培养皿上的电子鼻,用于检测来自由培养皿中生长的细菌培养物释放的VOC和其它化合物的蒸气。
图9B是电子鼻对培养基对照和不同培养物的响应以及基线响应的曲线图。
图10示出具有多个堆叠数据存储和计算层的实例单片3D智能感测系统。
图11示出在其CNFET子阵列中具有多个功能化的实例单片3D智能感测系统。
图12A示出当漏极电压VD和增益电压VG在时序上变化时,单独的CNFET气体传感器的实例电流输出。
图12B示出1000个单独的CNFET气体传感器的子阵列的实例输出,所述传感器中的每一个具有如图12A大体上示出的输出。
图12C示出如图12B中示出的CNFET子阵列的阵列的实例输出,并且具有如图12B中大体上示出的输出。
图13A是当暴露于空气时CNFET子阵列的实例输出。
图13B是当暴露于包括细菌组分的空气时图13A的CNFET子阵列的实例输出。
图13B是当暴露于包括另一种细菌组分的空气时图13A的CNFET子阵列的实例输出,所述细菌组分与图13B中的细菌组分不同。
图13D是CNFET阵列在暴露于包括细菌铜绿假单胞菌(Pseudomonas aeruginosa)的培养物上方顶部空间的空气时的输出。
图13E是CNFET阵列在暴露于包括细菌金黄色葡萄球菌(Staphylococcus aureus)的培养物上方顶部空间的空气时的输出。
图13F是CNFET阵列在暴露于包括细菌流感嗜血杆菌(Haemophilus influenzae)的培养物上方顶部空间的空气时的输出。
图14是CNFET阵列暴露于实际细菌(X轴)相对于CNFET阵列预测/检测的细菌(Y轴)的曲线图。其说明CNFET阵列在检测不同细菌方面的准确度。
图15A示出用于气体感测的具有不同子系统/层的实例装置。
图15B示出图15A的装置通过不同子系统/层之间的相互作用而进行的操作。
图15C示出图15A的装置的各操作阶段。
图16示出机械呼吸机,其具有安置在呼气管路中的单片3D IC。
具体实施方式
本发明技术包括智能感测系统,其通过在同一芯片上集成大量密集集成和高度多样化的片上传感器的阵列与嵌入式数据存储和计算来实现,从而根本上为感测应用实现全新的“大数据”或“超维度”方法。其它发明性智能感测系统可由具有大量高度多样化传感器的构造阵列的芯片和从芯片中读取数据的常规读出电路和互连件来实现。这些系统可通过利用单片三维(3D)系统直接在数据存储和计算层上集成数百万个独特的片上气体传感器来制得,从而实现感测、存储器和逻辑的超细粒度集成。此类系统通过在同一芯片内异构地集成多种技术来实现:常规硅CMOS和超越硅的新兴技术(特别是用于非易失性存储器的电阻式随机存取存储器(RRAM)和用于节能嵌入式计算和多样化气体传感器的碳纳米管(CNT))。此类系统可以通过使用片上机器学习逻辑对传感器数据进行原位分类而将每秒来自外界的百万兆字节的捕获数据转换为“处理信息”。这使得下一代感测应用能够通过利用紧密集成的感测和嵌入式计算实现低功耗的片上分类和学习。对于可扩展的制造,所有传感器都可用相同的材料(例如碳纳米管)以相同的方式制造,并且这些相同的“裸”传感器随后可通过任何合适的高通量方法(例如,并行或串行(或其任何组合)微点样或喷涂涂布CNT的含有不同敏感材料的溶液)来使传感器功能化,从而使其变得多样化或独特。
实例系统可实现为移动(例如手持或可穿戴)智能感测系统,其经训练以检测来自芬太尼家族(芬太尼及其已知和未知的类似物)的阿片类药物。这可对公共卫生、医疗保健提供者、执法和国土安全产生深远影响。此类芯片可进行智能芬太尼检测,并且用作未来各种智能感测系统的平台。例如,同一硬件平台可应用于医疗保健、工业和环境监测、化学战争检测、隐藏爆炸物或其它危险性威胁、无人机(UAV)的航空气体采样以及其它类别的传感器(例如高速成像器)。
大规模并行感测系统
图1示出了感测设备/系统平台100,有时也称为单片三维(3D)集成电路(IC)。装置100可包括感测层110、存储器层120和处理层130。感测层110中的感测元件与存储器层120中的存储器元件和/或处理层130中的处理元件的比率可以以任何合适的方式变化,例如取决于特定应用(例如,更多的计算密集型应用可能需要更多的存储器和处理,而更少的计算密集型应用可以包括感测层而不需要存储器、处理层)。
感测层110可包括功能化的碳纳米管场效应晶体管(CNFET)115。感测层110中的CNFET 115的数目可以是约100、约1000、约10,000、约100,000、约1,000,000、约5,000,000、约10,000,000或更多,包括介于两者之间的所有值和子范围。在一些情况下,感测层110包括至少1,000,000个CNFET。
功能化可用多种功能材料并且以任何合适的方式进行。例如,同一功能材料可施加于一些CNFET 115,或多种功能材料可施加于单个CNFET 115等。多个/一组功能材料可包括以下类别的任何分子和/或特定分子:DNA,如寡核苷酸、金属配位复合物、包括金属卟啉的卟啉、自组装单层(SAM)、聚合物、吡咯衍生物、酞菁、其组合等。功能材料组可包括至少2、至少4、至少10、至少20、至少50、至少100、至少500或更多种不同的功能材料,包括介于两者之间的所有值和子范围。功能材料的实例可包括(但不限于)7-氨基-4-(三氟甲基)香豆素、香豆素153、7-二乙氨基-3-[N-(2-马来酰亚胺乙基)氨基甲酰基]香豆素、蒽、7,12-二甲基苯并[a]蒽溶液、苝、9-蒽甲醇、2-甲基吡啶-3-甲酸乙酯、1,4-二碘苯、1-环己烯-1-甲酸、联苯-4-甲酸、联苯-2-甲酸、9-芴酮-2-甲酸、1,6-二溴-2-羟基萘-3-甲酸、2-氟联苯-4-甲酸、6-甲基吡啶-3-甲酸、3-溴丙胺氢溴酸盐、4-三苯甲基苯酚、4-(苯偶氮基)苯甲酸、乙二(对甲苯磺酸酯)、吲哚啉-1-甲酸叔丁酯、β-环糊精、(2-羟丙基)-β-环糊精、α-环糊精、γ-环糊精水合物、二苯并-18-冠-6、四苯基硼酸铯、β-环糊精水合物、2,6,8-三甲基-喹啉-4-甲酸、十二烷基苯磺酸钠、3-O-甲基-d-吡喃葡萄糖、乙二胺四乙酸二钠镁盐水合物、百里酚酞、邻甲酚酞络合剂、联苯-4-甲醇、1-([1,1'-联苯]-4-基氧基)丙酮、酞菁锌、酞菁镁、酞菁氯化铝、酞菁铁(ii)、聚(酞菁铜)、酞菁铜-3,4',4”,4”'-四磺酸四钠盐、酞菁镍(ii)-四磺酸四钠盐、2,9,16,23-四叔丁基-29h,31h-酞菁铜(ii)、氯化血红素、2-氟-5-甲氧基苯甲醛、吩嗪硫酸甲酯、2-(4-(异戊氧基)-3-甲氧基苯基)-1h-咪唑(4,5-b)吩嗪、亮甲酚蓝、亮绿、三环己基膦四氟硼酸盐、硫代乙酸盐、1,3,7-三甲基黄嘌呤、nafion 1100ew、聚(亚乙基亚胺)、磺胺酸、2-(3,4'-二甲氧基苯基)-1-(3”-吡啶基)丙烯腈和2-[双(甲硫基)亚甲基]丙二腈。在一些情况下,功能化材料的沉积可通过自动微点样或喷涂在感测层110的不同区域上(例如,在任何方向上具有<100μm的位置准确度)、通过将装置110预对准微点样器或喷涂器(例如,光学方式或通过其它自动方式)来完成。装置100可进一步包括在制造期间和/或之后,在装置的目标区域内(例如在500μm×500μm区域或更小的区域内)将功能化材料或含有功能化材料或溶液限制在其中的结构或图案。此限制可通过例如围绕CNFET组制造的物理阱,或通过感测层110的表面上图案化具有不同疏水性的材料以将制含有功能化材料的溶液限制在感测层110的特定区域内直到溶液干燥。
当暴露于气体或其一部分/组分时,感测层110中的每个CNFET 115可基于其特定功能化进行响应,以生成所述气体的数据/信息,如本文中更详细地描述。例如,在一些情况下,功能化可对特定气体具有特异性,并且由CNFET 115生成的数据指示所述特定气体存在或不存在。在其它情况下,功能化对于特定气体并不具有特异性,并且由CNFET 115响应于所述气体生成的数据以及由具有不同功能化的其它CNFET生成的数据共同指示所述特定气体存在或不存在。换句话说,第一CNFET 115可经功能化以感测第一类型的气体,第二CNFET115可经功能化以感测第二类型的气体,等等。
存储器层120耦接到感测层110,并且可以包括用于存储由CNFET 115生成的数据的任何合适的存储组件,例如RRAM、嵌入式闪存(eFlash)存储器、相变存储器(PCM)、SRAM、磁性和/或基于自旋的存储器,例如自旋转移矩RAM(STT-RAM)。多个层间通孔(ILV)140可耦接层110、120。存储器层120可涵盖交叉点架构,其中每个单元包括一个晶体管和一个存储器单元,例如RRAM。
处理层130耦接到存储器层120,并且可包括用于基于由CNFET 115生成的数据识别气体的一种或多种组分、识别CNFET 115对气体的一种或多种组分的响应等的任何合适的处理组件。在一些情况下,气体包括芬太尼,并且装置100(即,功能化的CNFET 115)可共同检测芬太尼。在一些情况下,气体包括挥发性有机化合物(VOC),并且功能化的CNFET 115可检测气体中的VOC中的一种或多种。VOC可包括(但不限于)从细菌释放的VOC、从(人或非人)患者自身细胞和/或生物过程释放的VOC、可从细菌或患者外部的药剂(例如,施用于细菌培养物或患者的药剂)释放的VOC。通常,CNFET 115可对气体生成独特的总体响应,存储器层120可存储所述独特响应的表示,并且处理层130可基于独特响应识别气体。
在不受理论或任何特定实施方案的限制的情况下,装置100可以集成大规模并行感测(即,经由感测层110中的CFNET 115)、数据存储(即,经由存储器层120)和计算(即,经由处理层130)例如用于实施查找表(LUT)、用于图案匹配和/或用于使用任何合适的监督学习方法进行嵌入式机器学习,如(但不限于)支持矢量机(SVM)、人工神经网络、决策树、随机森林等。其可经训练以检测芬太尼(及其未知类似物),进行片上实时分类。
平台/装置100包括芯片,其暴露于环境的顶层可以含有数百万个独特气体传感器以生成丰富的感测数据。分类毒素可以基于数百万个传感器的总体响应,其中每种不同的毒素在所有数百万个传感器上生成独特的响应图案,即,如图2中所示,针对假想气体1(左图)和气体2(右图)的独特识别“指纹”。因此,每个单独的CNFET/传感器的准确度要求可得到极大地放宽,因为感测准确度可来自感测层110整体中的传感器的集合。
基于来自气体传感器的独特响应图案执行分类可以实现至少三个益处:(1)灵活性和普遍性:同一装置/硬件只需通过训练新的指纹或响应图案便可用于检测各种气体、物质、毒素等;(2)特异性:独特的指纹响应允许系统识别特定毒素和/或毒素类别,而无需为所述毒素或毒素类别专门设计传感器;和(3)稳健性,因为数百、数千、数万、数十万或数以百万计的传感器为单独的传感器的杂散响应提供了稳健性。
然而,仅仅具有数百万个没有功能化或没有并行读出的传感器可能并不足够:由感测系统生成的原始数据量呈指数级增加(即,“数据洪流”)已经让电子产品的计算能力不堪重负。将数据从片外传输到云,甚至在两个单独的封装的芯片之间传输,都可能导致浪费时间和精力的令人望而却步的通信瓶颈,通常消耗整个分类操作>98%的时间和精力。(此量化从实例2D与3D系统的模拟中提取,根据从完整物理设计和行业标准设计流程提取的性能和能量数目进行校准。)由于对生成的大量原始数据和后续大数据分析的依赖,这对超维度感测方法尤其具有制约性。
为了缓解此问题,并且如针对装置100所述,将片上传感器/CNFET 115浸入存储器(在存储器层120中)和计算(在处理层130中)内,在芯片外传输之前,将原始数据洪流全部转换成片上/装置上的高度处理信息,从而克服这一实质性的通信瓶颈。如图1所示,这通过将逻辑、存储器层和感测的各层彼此直接在3D堆叠内进行异构集成来实现的,其中所述层通过细粒度和密集垂直通孔连接。单个3D堆堆叠可包括任何数目个逻辑、存储器或感测层(例如,连接到单个存储器层和单个逻辑层的多个感测层)。这提供了当今通过任何其它方法都无法实现的大规模感测能力。
制造方法-CNT、RRAM和单片3D集成
作为非限制性描述,装置100可以通过用一组功能材料使多个碳纳米管场效应晶体管(CNFET)(例如感测层110中的CNFET 115)功能化来制造。每个CNFET可用一种或多种功能材料(例如至少50种功能材料)功能化。在某些情况下,这可以通过将碳纳米管沉积在衬底上,然后用所述功能材料组使碳纳米管功能化来完成。然后,可以通过适当沉积额外材料构造CNFET的其余部分以提供功能化的CNFET,如通过沉积材料以形成源极和漏极端。功能化本身,无论是在CNFET形成之前还是之后在碳纳米管上进行,可通过例如将包括功能材料的溶液滴到目标碳纳米管上来完成。作为另一个实例,如当需要更大的暴露区域时,可将包括功能材料的喷雾剂溶液喷涂到目标碳纳米管上。
存储器层120可例如通过ILV 140耦接到感测层110,其使得存储器层120能够响应于气体暴露而存储由CNFET 115生成的数据。处理层130可随后耦接到存储器层120,这允许基于来自CNFET 115的数据识别气体中的一种或多种组分(例如芬太尼、VOC等)。因此,在使用期间,CNFET 115可暴露于气体,使得其基于至少一部分气体生成数据。随后,处理层130可基于数据识别气体的一种或多种组分。
作为特定且非限制性实例,图1中示出的实例装置/系统100可使用CNT、RRAM和单片3D集成来制造。CNT是直径约1nm的碳原子的纳米圆柱体。其可用于通过用CNT替换晶体管的硅通道来形成碳纳米管场效应晶体管(CNFET)。CNFET为智能感测系统提供了若干益处。首先,如图3A(CNFET)和3B(CNFET传感器)所示,原本相同的CNFET可用不同的功能化来转变,以形成一组不同的独特气体CFNET传感器。为了形成CNFET气体传感器310,CNFET 310的通道中的CNT 320用另一材料330功能化(例如涂布),但应理解,CNFET的任何区域,例如源极触点和漏极触点,可用功能化材料的涂布进行功能化。对于CNT,此功能化及其与CNT 320的相互作用改变了CNFET 310的特性,由此确定其作为传感器的灵敏度和选择性。单个系统中的数千到数百万个CNFET可包括许多组CNFET,所述组CNFET中的每一个经功能化以检测特定气体或气体组合,使得可在同一系统中感测不同气体和气体的组合。
CNT可以通过广泛的功能性进行调整。具体地,由于CNT中存在的共轭π(交替单键和双键),如本文所公开的功能化材料可以与纳米管相互作用并且影响其电子结构,使得当功能化材料与气体相互作用时,功能化材料的任何变化转导变为CNFET电特性的变化,而这些变化又可通过CNFET操作的变化来观察。此类改变的实例可包括D.C.电流-电压关系(漏极电流相对于漏极电压和漏极电流相对于栅极电压)的变化、A.C.响应、瞬态响应、不同频率下的阻抗、其组合等。通常,这些功能化材料与目标气体分析物的相互作用引起CNT的电子特性发生直接变化,从而使每个传感器对特定类别的气体敏感。大量可用的共轭材料独特CNFET气体传感器带来同样巨大的设计空间。此外,由于CNFET的高驱动电流与理想的静电控制同时进行,CNFET可在电路电平上实现比最先进的硅CMOS晶体管高10倍的能效(由能量延迟乘积或EDP量化)的节能计算。最后,CNFET可在极低的温度(例如<200℃)下制造,这实现感测和计算的垂直层之间的密集3D集成(下文论述)。
尽管如此,CNT传感器仍存在一些挑战,包括下表中所列出的那些。这些挑战可以通过以下方式缓解:
Figure BDA0002919434040000111
RRAM,如图4A(单个RRRAM单元)和4B(RRAM单元的RRAM阵列)所示,是一种用于密集片上数据存储的非易失性存储器技术。与CNFET类似,RRAM还可在较低(例如<200℃)处理温度下制造,且因此实现密集3D集成,但可采用可在类似条件(例如,在小于约400℃的温度下)下制造的任何存储器。
单片3D集成可减少或消除传统传感应用的不同部分之间传输大量数据时的通信瓶颈。如本文关于图1所描述的实例装置/系统100在单片3D系统架构中使用CNFET和RRAM来克服此瓶颈。相比之下,如今的常规3D集成是通过芯片堆叠实现的,其中系统的每个垂直层是在单独的衬底上制造的,随后衬底堆叠并且彼此粘合。然而,芯片堆叠通常依赖于低密度的穿硅通孔(TSV)来连接垂直层。如图5中的左图中所示,TSV的间距限制在>10μm。相反,单片3D集成涉及在先前层上直接制造每个垂直层。这些层位于同一起始衬底上(不需要晶片粘合),因此用于金属布线的线后端层间通孔(ILV)可用于连接垂直层。ILV是比TSV更致密的数量级(例如,大于1,000倍),从而实现更高的密度,如图5右图所示。
垂直物理连接性的这种大量增加直接转化为垂直层之间的数据带宽的同样大幅增加。这使大量不同传感器(例如,具有不同功能化的CNFET传感器)能够并行地将数据写入物理上位于传感器的正下方的存储器层。随后,将存储器中的数据传输到具有同样高的带宽的处理元件(直接在存储器下方),从而实现低延迟的高度处理信息,例如,用于实时对大量传感器数据进行分类。
为了实现单片3D IC,上层上的处理温度可以小于约400℃。高于此温度可能会损坏底层电路,破坏线后端金属互连件。虽然常规的硅CMOS涉及>1000℃的处理温度,但这对于单片3D IC来说温度过高,而CNFET和RRAM的低温制造可自然地实现单片3D集成电路(IC)。这种感测、数据存储和计算技术以及单片3D系统架构的组合使得本发明的智能感测系统得以实现。
捕获大量丰富的原始数据并且以近乎实时的方式在片上处理数据的能力为“智能”数据分析提供了额外机会。例如,实例智能系统可以使用大量实时数据输入流来执行自适应数据获取和后续机器学习。在图6中,不仅两个具有不同功能化的CNFET传感器(“传感器1”和“传感器2”)提供对不同气体(“气体1”、“气体2”和“气体3”)的独特的响应,相同的CNFET传感器也可以在CNFET在不同电压(未示出)下偏置时提供对气体的不同响应。虽然用于超维度感测的朴素方法可能涉及跨越整个广阔空间(跨越所有传感器的所有偏置条件)进行采样,但本发明的系统对捕获的数据进行实时分析,使得可以利用先前测量的响应来调整在下次测量中从每个传感器采集的数据(通过调整偏置条件)。因此,系统可以实时自主地调适(例如,停止不提供有用的信息来节省能量和时间的传感器采样,同时增加来自收集有用信息的传感器的采样),而无需扫描所有传感器的所有可能的偏置条件。
单片3D IC也存在挑战。例如,制造产率往往随着每个附加的垂直层而降低。但通过超维度数据进行感测通常对错误是稳健的,并且可以通过底层上的代工硅CMOS实现误差敏感计算。同样,可通过将如计算层的发热层放置于散热器附近的IC的底部处或朝向散热器附近的IC的底部,并且使用上层来感测、存储器和生成(和耗散)较少热量的其它任务来减轻热约束。
检测芬太尼
用于芬太尼(和广泛气体)检测的当前方法具有以下主要局限性。质谱无法提供足够的信息来识别复杂气体混合物,必须与气相色谱(体积大且不可移动)一起使用才可进行准确的气体识别。此外,质谱根本上在较小规模(例如片上)下具有较低的分辨率和灵敏度。有数种商业芬太尼检测器使用拉曼光谱法,但信号强度较低,因此无法识别环境空气中的痕量气体。
虽然功能化材料可沉积在CNFET的任何组件上,但CNT尤其可用作极其灵敏的气体传感器。由于其具有极高的静电灵敏度和极高的表面积与体积比(>10,000),功能化的CNT气体传感器已对各种不同分析物显示出十亿分率(ppb)甚至万亿分率(ppt)的灵敏度。然而,在将CNT沉积在晶片/衬底上之前使CNT功能化以及随后的CNFET制造(例如,CNFET的源极、漏极或栅极)已用于高度特异性和灵敏的传感器,这对于通用智能感测系统并非一种可扩展的方法(如上文所论述)。此外,这些功能化材料在半导体制造设施中通常被认为是化学污染物,并且因此在CNT功能化后CNFET传感器的后续制造可能具有挑战性,因为CNT的功能化阻止了半导体制造设施内的继续制造。CNT的阵列已用于非特异性传感器中以识别不同蒸气。然而,这些装置已使用数量非常有限的传感器(例如,3到30个),因此也使用有限数量的不同类型的传感器,并且还封装在与存储器和计算分开的芯片上。
图7A-7D示出实例大规模单片3D IC,其具有>1百万个CNFET气体传感器和通过硅CMOS逻辑制造的1兆位RRAM。其采用支持向量机进行分类,并且利用一些不同的CNFET功能化来检测家用蒸气。此IC由计算机外部控制。
其它实例IC可在底层上用高级硅CMOS逻辑实施复杂的片上学习和分类。其可以利用微点样和/或基于喷雾剂的喷涂/沉积在整个阵列上实现>100,000个独特的功能化,包括用于各种应用的功能化,包括芬太尼检测、医疗保健、工业监测等。其可以作为实施实时、超维度自适应数据捕获和分析的自主、低功耗和移动智能感测系统操作。
例如,本发明的单片3D IC感测系统可包括处于不同气体组合和浓度的多种独特CNT气体传感器中的任一种,包括在一系列环境条件(湿度、背景气体等)下的痕量(<100ppm)芬太尼检测。在操作中,这些单片3D IC可能够在环境条件下学习目标灵敏度为约1ppm痕量浓度的未知类似物。这些CNT气体传感器可形成对芬太尼类似物具有不同已知图案响应的库。此库可用于用实验数据对CNT传感器进行离线测试和优化,从而降低与优化嵌入式计算以识别和预测未知芬太尼类似物相关的风险。更一般地,这些CNT气体传感器能够共同连续地学习图案,即使在暴露于未知气体时,也无需重新设计来检测新气体。此外,这些CNT气体传感器还能够基于所有传感器的共同响应将未知分子分类为与芬太尼相关或无关的分子。
诊断呼吸机辅助性肺炎的挥发性有机化合物检测
呼吸机相关性肺炎(VAP)是一种高死亡率疾病,约18%的重病监护室(ICU)患者在插管后15天内发病。其导致直接费用为$10,000到$15,000,并使住院时间增加六天以上。早期的VAP检测是至关重要的,因为早期抗生素治疗可降低死亡率和总体成本。不幸的是,VAP诊断非常具有挑战性,因为:(1)症状的非特异性(白细胞计数升高、发热),(2)呼吸道培养的高假阳性率(以及所需的培养时间),以及(3)由于即使在没有肺炎的情况下,插管患者的胸部浸润异常也很常见,因此ICU中X射线成像的效用较低。
最近的VAP研究已发现VAP与呼吸中挥发性有机化合物(VOC)的组成之间存在相关性。因此,VOC(组成和浓度)的变化可用作VAP状态和治疗反应的标志物。虽然这些结果非常有前景,但采用当今的技术对ICU患者实施VOC监测以早期诊断VAP并不可行。当前技术过于庞大并且昂贵(例如,最先进的气相色谱和质谱),无法大规模实施,或由于无法生成足够的有用数据(例如,仅含有<30个不同的传感器用于检测<30个特定的VOC)而不足以检测VAP。呼吸中有数千种不同的VOC,并且不存在与任何特定VOC的直接相关性以诊断VAP(特别是VAP本身并非一种定义明确的疾病,并且可由一系列不同的细菌引起,每种不同的细菌均可生成独特的VOC特征,并且不同的人对VAP的反应也不同)。
图8示出图1的单片3D IC 100,其被配置成“电子鼻”来监测患者呼吸中的VOC 801以用于早期诊断VAP。如上文所解释,单片3D IC 100可包括数百万个独特气体传感器,其直接构建在如上文所解释的数据存储和计算逻辑层上。由于计算、数据存储和大规模并行感测的细粒度和密集集成,这些系统可以每秒捕获百万兆字节的信息,将数据存储在片上存储器中,并且对其进行实时计算,从而将大量原始数据输入转换为高度处理且有用的信息。因此,这个数百万个传感器感测系统并不是先验确定监测哪些VOC以进行VAP诊断(如上所述,这并不可行),而是对VOC的任意组合和浓度生成独特的响应。
因此,与测量单独VOC浓度不同,电子鼻可生成并执行来自给定患者的呼吸的大数据的后续分析,以产生与此患者的呼吸的整个组成相关的独特、个性化“指纹”803。芯片内的计算逻辑训练识别此个性化基线,并且检测此“指纹”随时间的变化,包括指示VAP的变化。因此,对这些系统生成的大量数据集进行训练(以及了解哪些患者出现VAP,哪些患者没有出现)可以识别出指示VAP出现的“指纹”的变化(无需知道这些预警信号是什么),从而允许进行临床干预。同样,使用电子鼻监测患者呼吸中的VOC也根据指纹返回到患者独特基线的速率提供关于抗生素治疗有效性的信息。
用于诊断VAP的单片3D IC可以通过在同一芯片上集成大量密集集成和高度多样化的片上传感器的阵列与嵌入式数据存储和计算来实现,从而根本上为感测应用实现全新的“大数据”或“超维度”方法。具体来说,这些系统可通过利用单片3D系统直接在数据存储和计算层上集成数百万个独特的片上气体传感器来制得,以实现感测、存储器和逻辑的超细粒度集成。此类系统通过在同一芯片内异构地集成多种技术来实现:常规硅CMOS和超越硅的新兴技术(特别是用于非易失性存储器的RRAM和用于节能嵌入式计算和多样化气体感测的CNT)。此类系统可以通过使用片上机器学习逻辑对传感器数据进行原位分类而将每秒来自外界的百万兆字节的捕获数据转换为“处理信息”。这使得下一代感测应用能够通过利用紧密集成的感测和嵌入式计算实现低功耗的片上分类和学习。
图9A示出集成在培养皿上的电子鼻,用于检测来自由培养皿中生长的细菌培养物释放的VOC和其它化合物的蒸气。将单片3D IC集成到培养皿中以测量来自培养皿中生长的细菌培养物的VOC。此类细菌培养物研究可立即产生临床影响。
检测细菌感染的当前诊断工作流程需要若干天才能人工培养出足够的细菌以用于检测存在和识别细菌感染。最近的工作研究表明,在培养物中,可以从释放的VOC中识别出不同的菌株,并且这些VOC是在可见定植之前生成的(这是当前诊断工作流程中所要求的)。与当前的诊断工作流程相比,通过电子方式检测细菌的存在,并且基于VOC识别菌株减少了检测和识别细菌感染所需的时间,从而缩短了使用适当抗生素治疗的时间,进而改善了各种细菌感染的临床结果。
图9B是单片3D IC中的呈CNFET的漏极电流相对于栅极源电压形式的电子鼻对不同培养物的响应的曲线图。当栅源电压从-2V增加到0V时,从约4μA的漏极电流下降到约0.5μA的较陡迹线对应于基线响应和对培养基对照的响应。当栅极源电压从-2V增加到0V时,从约1-2μA的漏极电流下降到约0.5-1.0μA的较浅迹线对应于对不同细菌培养物A(大肠杆菌)和B(铜绿假单胞菌)的响应。电子鼻响应的差异使得区分细菌培养物和对照组变得简单。
虽然本文针对在许多设置(呼吸机、培养皿、来自患者的样品等)中的细菌检测进行了解释,但应理解,检测还可用于检测来自非细菌物种的气体,例如生成VOC的真菌/真菌感染。
此外,培养皿测量产生大量数据集,其可用于训练单片3D IC以识别由不同细菌产生的不同图案。这种训练数据可通过经训练的3D IC原位测量通气患者的呼吸而用于VOC检测和VAP诊断。原位诊断VAP可显著减少(如果未消除)与当前检测和诊断VAP的方法相关的滞后,极大地改善临床结果并降低治疗成本。
因此,单片3D IC可用于诊断呼吸机相关性肺炎(VAP),包括培养来自VAP易感患者的细菌。其可以通过用装置检测由细菌释放的至少一种挥发性有机化合物(VOC)和/或施用于细菌的外源剂来实现。细菌可包括(但不限于)大肠杆菌、奇异变形杆菌(Proteusmirabilis)、卡他莫拉菌(Moraxella catarrhalis)、粘质沙雷氏菌(Serratiamarcescens)、肺炎克雷伯菌(Klebsiella pneumoniae)、洋葱伯克霍尔德菌(Burkholderiacepacia)、鲍曼不动杆菌(Acinetobacter baumannii)、肺炎链球菌(Streptococcuspneumoniae)、嗜麦芽窄食单胞菌(Stenotrophomonas maltophilia)(嗜麦芽黄单胞菌(Xanthomonas maltophilia))、黑曲霉(Aspergillus niger)、乳酸奈瑟菌(Neisserialactamica)、化脓性链球菌(Streptococcus pyogenes)、铜绿假单胞菌、金黄色葡萄球菌、流感嗜血杆菌等。临床医生可使用此VOC检测来将患者诊断为患有VAP,例如,通过将患者的具有VOC的呼气测量值与同一患者的基线呼气测量值进行比较(例如,当患者健康时)。此外,临床医师还可以使用VOC检测和/或来自装置的任何合适数据来作出患者护理决策,例如,采用哪种抗微生物治疗、改变呼吸机设置、气管内插管套囊充气、会厌抽吸和/或可降低患者感染VAP或接触更严重的VAP病例的可能性的机械通气护理程序的任何方面。
如果需要,可将电子鼻与患者使用的机械呼吸机组合或插入呼吸机中,以便持续监测患者的呼气情况。可以将单片3D IC放置于接收患者呼出空气的呼吸机管道中。以此方式,可以对患者进行原位呼吸评估。因此,此系统可包括将空气循环到用户(即,进出用户的肺部)的呼吸机,以及耦接到呼吸机以接收由用户呼出的气体的装置。图16示出实例呼吸机1610,其在呼吸机的呼气管路1630中包括如本文所描述的单片3D IC 1620。在一些情景(未示出)中,IC 1620可集成到呼吸机1620的预先存在的传感器隔室或外壳1640中。
可以在结构和/或功能上类似于装置100的单片3D IC可如上文所描述感测和识别气体的组分。这可包括(但不限于),当放置于呼吸机的呼气回路中时用单片3D IC感测VOC;用单片3D IC从连接到患者的气管内插管抽取的呼气物感测VOC;通过将单片3D IC插入气管内插管以从经常被细菌定植的气管内插管内部采样VOC来感测VOC;以及由单片3D IC耦接到可插入肺部以直接从机械通气的人的肺部(例如在支气管镜上)进行VOC采样的任何装置来感测VOC。
具有多个处理和存储器层的单片3D IC
图10示出可在功能上类似于装置100的另一实例装置1000。装置1000包括感测层1010,所述感测层1010可类似于感测层110,并且包括超过上百万个CNFET。装置1000还包括与多个处理层1030交织的RRAM 1020的多个存储器/数据存储层。数百万纳米级导线可在单独CNFET与RRAM之间以及RRAM与对应处理单元之间建立连接性。此类广泛的连接性可能够每秒捕获和处理百万兆字节的信息,包括装置上存储和气体组分的实时计算/识别。
图11示出可在结构和/或功能上类似于装置100的另一实例装置1100的一部分。装置1100包括感测层1110,所述感测层1110具有上百万个CNFET,其中1000个CNFET用相同功能材料进行功能化,产生1000个CNFET块(图11示出16个所述块),所述1000个块具有1000个不同功能化11151、11152、11153、11154......11151000
以下实例方案用于捕获图12-14中所示的数据。
数据捕获-制造CNFET VOC传感器阵列(每个小芯片有256个CNFET VOC传感器),并且每个小芯片都经切割并且包装。这些包装被插入到定制的“电子培养皿”盖中,所述盖含有偏置和记录每个芯片上所有CNFET VOC传感器的电流-电压特征所需的接口电路。为了表征特定类型的CNFET VOC传感器,芯片上的所有256个CNFET VOC传感器都涂布有相同的功能,并且将封装的小芯片插入到电子培养皿盖中。然后将电子培养皿盖放置于15种不同培养细菌之一上。将每种培养细菌以105个菌落形成单位的临床相关浓度接种,并且在测量前培养12小时。为了对细菌VOC副产物进行采样,将培养板从培养中移出并冷却10分钟,然后去除所述盖并且使培养板再通风5分钟。然后将电子培养皿盖放置于细菌培养物上持续30秒,使顶部空间积聚VOC,然后测量CNFET VOC传感器。重复此方案以用15种细菌中的每一种测试100种功能化中的每一种。
全数据可视化-为使从每种细菌捕获的整个库中的信息可视化,形成传感器对每种细菌生成的VOC的响应的“图片”。实例于图13D-F中示出。每张图片由100个子阵列g构成(每个功能化一个),每个子阵列含有256条水平线(每个水平线是来自单个CNFET VOC传感器的响应,如图12A的实例所示)。每条水平线含有3746个测量值,对应于3746个不同偏置条件下测量的漏极电流(VD在0至1V的范围内变化,VG在-2至2V的范围内变化)。
分类-为了对与不同细菌VOC副产物相关的指纹进行分类,采用图像分类机器学习。此处,尽管可以轻松采用其他方法,但还是使用视觉词袋方法对图像进行分类。为进行此训练和分类,使用加速稳健特性(SURF)描述符从图像中提取描述符,然后进行k-均值聚类以确定对21种不同类别(空白布鲁氏(Brucella)马血培养基+空白麦康凯(MacConkey)培养基+在布鲁氏马血培养基的15种不同细菌+在麦康凯培养基的3种细菌+空气中的空白测量)进行分类的前500种特征。每个聚类中心表示特征或视觉单词。使用二进制支持向量机(SVM)分类器在纠错输出代码(ECOC)框架中形成多类分类器。为了测试分类器,对600个测试指纹进行分类(每个类别有30个测试指纹)。
用于分类的图像生成-为避免过度拟合,将每种类型的CNFET VOC传感器的256份副本绘制成两组CNFET VOC传感器(用于训练集的128份以及用于验证集的128份)。这可确保用于训练和验证的传感器中不存在重叠。为了形成多张图片,针对每种类型的CNFET VOC传感器,从128个传感器组中随机抽取64个传感器(通过将现包含64个CNFET VOC传感器的所有100个子阵列组合成一个较大的单个图片,仍可形成完整图片)。上述图像分类机器学习用于训练每种细菌的30张图片,然后尝试对细菌的600张图片进行分类。图14示出分类的结果。区分具有细菌或不具有任何细菌的样品的能力是100%准确的(将600/600张图片准确地指配为具有细菌与空白对照)。确定所有18种生物中致病生物的确切菌株的能力为99.5%(597/600完全识别的生物)。
现转向图12-14,图12A示出作为如本文公开的感测层的输出的一部分的单独CNFET气体传感器的实例输出。具体地,图12A是随时间(X轴)变化的在栅极电压VG和/或漏极电压VD的不同值下通过单个CNFET(其电流值由颜色指定)的电流的曲线图。此曲线图是将CNFET传感器暴露于细菌肺炎克雷伯菌产生的含有VOC的气体的结果。CNFET传感器的功能化材料是2,9,16,23-四-叔丁基-29H,31H-酞菁铜(II)。
图12B示出CNFET的子阵列的实例输出,所述子阵列包括1000个单独的CNFET气体传感器,每个如图12A所述经功能化,并且每个CNFET气体传感器具有如图12A大体上示出的输出。相同类型的CNFET气体传感器的此类冗余度(即,具有相同功能化)可减少传感器之间的可变性,并且提供对此类传感器的一般响应的更准确理解。
图12C示出CNFET的阵列的实例输出,所述阵列包括如图12B所示的多个子阵列,并且具有如图12B大体上示出的输出。每个CNFET子阵列可以不同方式被功能化-例如,可存在1000个CNFET,其中每个CNFET具有1000个子阵列。替代地,可以对CNFET子阵列进行功能化以提供冗余度或提高灵敏度-例如,可能存在1000个以类似方式功能化的CNFET子阵列。其它布置也是可能的。例如,可能存在许多经功能化以检测较难检测的化合物的CNFET子阵列,以及经功能化以检测较容易检测的化合物的较少CNFET子阵列。
图13A是当暴露于空气时子阵列(即,具有相同功能化材料的多个CNFET,2,9,16,23-四叔丁基-29H,31H-酞菁铜(II))的实例输出,对可以评估的其它测试样品提供‘基准’或‘对照’。图13B是当暴露于含有由第一细菌物种铜绿假单胞菌释放的组分的气体时,具有相同功能化材料的相同子阵列的实例输出。在计算和视觉上,图13B的输出可容易与图13A的基线区别开来。图13C是与图13A相同的子阵列的另一示例输出,其中所述子阵列暴露于含有不同于第一细菌物种的第二细菌物种(金黄色葡萄球菌)释放的组分的气体。同样地,在计算(例如,使用如本文所公开的机器学习方法)和视觉上,图13B的输出可容易与图13A的基线的输出,并与第一细菌物种的输出区别开来。
图13D、13E和13F是感测层的完整CNFET传感器阵列的实例输出,所述阵列暴露于分别含有由铜绿假单胞菌、金黄色葡萄球菌和流感嗜血杆菌释放的组分的气体。同样地,在计算和视觉上,每个输出都可容易与其他输出区别分开。
图14是示出细菌分类的准确度的评估/混淆矩阵,例如可使用在装置的处理层中执行的支持向量机(SVM)在每个功能化材料中用256个CNFET气体传感器执行。用由感测层中的一半CNFET气体传感器获得的训练数据(例如,128个响应图案)对SVM进行训练,并且使用由另一半CNFET气体传感器的获得的测试数据(即,128个响应图案)进行分类。在此实例中,训练数据和测试数据之间没有重叠。SVM以大于99.5%的准确度对细菌进行二进制分类(即细菌与无细菌),并且能够以约92%的准确度确定精确的细菌种类。例如,对于大肠杆菌,SVM几乎100%准确地对其进行分类。总体而言,图14示出21类样品之间的分类-18种不同的菌株、空气、空白布鲁氏马血培养基和空白麦康凯培养基。
图15A-15C示出在典型使用期间实例装置1500(图15A)的实例操作。装置1500可以类似于装置100,包括输入/输出感测层1510(绿色)、存储器层1520(蓝色)、计算/处理层1530(红色)、用于由层1530访问的存储器的互连件1540,以及处理层1530的接口1550(紫色)。图15B和15C为对应于图15A而经阴影色编码,其中图15B示出装置1500的输入(例如,经由接口1550)是存储器地址、控制信号(接口子系统1550中的多路复用器的‘选择’信号、感测层1510的感测电路的控制电压VG1和VG2以及施加到存储器层1520的RRAM的复位或读取电压VR)以及功率和时钟信号。此类输入可在装置1500之外生成,并且通过输入/输出引脚经由接口1550路由到装置。具有相同标记(VR、VS、VG1或VG2)的信号在装置1550上连接到相同的输入/输出引脚。字线(阵列中的水平线,标记为0至1,023)和位线(阵列中的垂直线,标记为0至1,023)分别示出为红色实线和紫色虚线。感测放大器(‘sense amp.’)是读取RRAM存储器单元的值的电路,并且选择信号控制多路复用器以选择哪个传感器放大器连接到计算子系统。
图15C示出装置1500跨越三个阶段的操作:初始化、感测和计算。在初始化阶段,将存储器层1520中的所有RRAM单元复位并且初始化到0;具体地,施加电压以复位RRAM V复位=-2.75V。在感测阶段,所有CNFET气体传感器直接且并行地将1或0(取决于每个传感器如何与气体反应)写入到RRAM单元中。在计算阶段,处理层1530中的CNFET行解码器和硅接口逻辑(通过存储器地址和片外生成的控制信号)依次选择单独RRAM单元,使得基于CNFET的分类加速器能够进行分类;施加以读取RRAM的电压V读取=1.25V。GND为接地(0V)。
本文公开的一些方面涉及单片3D IC,所述单片3D IC(在感测层中)含有1000个或更多个不同传感器,所述传感器在500个或更多个功能化材料之间均匀或不均匀地分配。感测层下方的第二层包括晶体管层。晶体管可具有变化的功能性,包括(但不限于):(1)测量感测层中的传感器的响应(例如,包括偏置电路以偏置传感器,并且进一步包括模数转换器(ADC),以测量传感器的响应);(2)访问电路以选择在任何给定时间点测量哪个传感器和/或传感器组合(的响应);和/或(3)计算以分析传感器的测量值,例如使用如本文所述的任何合适的机器学习方法。IC还可以包括更多层的计算和/或存储器(例如,RRAM),以进一步实现片上嵌入式计算和数据存储能力。
结论
虽然本文中已描述和说明各种发明性实施例,但本领域的技术人员将容易设想用于执行本文所述的功能和/或获得本文所述的结果和/或本文所述的一个或多个优点的多种其它构件和/或结构,并且此类变化形式和/或修改中的每一个被认为在本文所述的发明性实施例的范围内。更一般来讲,本领域的技术人员将容易地理解,本文中描述的所有参数、尺寸、材料以及配置意图为例示性的,并且实际参数、尺寸、材料和/或配置将取决于本发明教示所用于的一种或多种具体应用。本领域技术人员将认识到或能够使用不超过常规实验来确定本文所述的具体发明实施例的许多等效物。因此,应理解,前述实施例仅借助于实例呈现,并且在所附权利要求书和其等效物的范畴内,可以用与具体描述和要求的不同的方式实践发明性实施例。本公开的发明性实施例涉及本文所述的每一单独特征、系统、物品、材料、套件和/或方法。此外,如果此类特征、系统、物品、材料、套件和/或方法并非互不一致,则两个或更多个此类特征、系统、物品、材料、套件和/或方法的任何组合包括在本公开的发明性范围内。
另外,各种发明性概念可实施为一种或多种方法,其中已提供其实例。作为方法的一部分而执行的动作可用任何合适的方式排序。因此,可以构造按不同于所说明的次序执行动作的实施例,其可以包括同时执行一些动作,即使在说明性实施例中展示为依序的动作也是如此。
应理解,如本文中定义和使用的所有定义都优先于字典定义、以引用的方式并入的文档中的定义和/或定义的术语的普通含义。
除非明确相反地指示,否则如本文在说明书和权利要求中所使用的不定冠词“一(a)”和“一(an)”应理解为意味着“至少一(个)”。
如本文在说明书和权利要求书中所使用的短语“和/或”应理解为意指如此结合的元件中的“任一种或两种”,即,元件在一些情况下结合存在并且在其它情况下分开存在。用“和/或”列出的多个元件应按照相同方式解释,即,如此结合的元件中的“一种或多种”。除通过“和/或”从句具体识别的元件之外,可以任选地存在其它元件,无论是否与具体识别的那些元件相关。因此,作为非限制性实例,当结合开放式语言(例如“包含”)使用时,提及“A和/或B”在一个实施例中可以仅指A(任选地包括除B之外的元件);在另一个实施例中仅指B(任选地包括除A之外的元件);在又一个实施例中,兼指A和B(任选地包括其它元件);等。
如本文在本说明书和权利要求书中所用,“或”应理解为具有与如上所定义的“和/或”相同的含义。例如,当分离列表中的项目时,“或”或“和/或”应被解释为包括性的,即包括多个元件或元件列表中的至少一个,但也包括多个元件或元件列表中的多于一个,以及任选地额外未列出的项目。仅明确相反地指示的术语,如“仅仅……中的一个”或“恰好……中的一个”或当在权利要求书时使用时“由……组成”将指的是包括多个元件或元件列表中的恰好一个元件。一般来说,如本文中所使用的术语“或”当前面是例如“任一”、“……中的一个”、“仅……中的一个”或“恰好……中的一个”的排它性术语时,仅应解释为指示排它性替代方案(即“一个或另一个但并非两者”)。“基本上由……组成”当在权利要求书中使用时,应具有如其在专利法领域中所使用的普通含义。
如本文在本说明书和权利要求书中所使用,在提及一个或多个元件的列表时,短语“至少一个”应理解为意指选自元件列表中的任何一个或多个元件中的至少一个元件,但不一定包括元件列表内具体列出的每一个元件中的至少一个,并且不排除元件列表中的元件的任何组合。此定义还允许除了元件列表内具体识别的短语“至少一个”所指的元件之外的元件可任选地存在,无论其是否与具体识别的那些元件相关。因而,作为非限制性实例,在一个实施例中,“A和B中的至少一个”(或,等效地,“A或B中的至少一个”或,等效地“A和/或B中的至少一个”)可以指代至少一个,任选地包括多于一个A,不存在B(并且任选地包括除了B之外的元件);在另一个实施例中,可以指代至少一个,任选地包括多于一个B,不存在A(并且任选地包括除了A之外的元件);在又一实施例中,可以指代至少一个,任选地包括多于一个A,和至少一个,任选地包括多余一个B(并且任选地包括其它元件);等。
在权利要求书中以及在上述说明书中,例如“包含”、“包括”、“带有”、“具有”、“含有”、“涉及”、“容纳”、“由……组成”等所有连接词应理解为是开放的,即,意指包括(但不限于)。如美国专利局专利审查手册第2111.03节所述的,只有过渡短语“由……组成”和“基本上由……组成”才应分别是封闭式或半封闭式过渡性短语。

Claims (23)

1.一种单片三维(3D)集成电路(IC),其包含:
感测层,其包含碳纳米管场效应晶体管(CNFET),所述碳纳米管场效应晶体管用至少50种功能材料功能化以用于感测气体的相应组分;
存储器层,其可操作地耦接到所述感测层,以存储由所述CNFET响应于感测所述气体的相应组分中的至少一种而生成的数据;以及
处理层,其可操作地耦接到所述存储器层,以基于由所述CNFET生成的所述数据识别所述气体的相应组分中的至少一种。
2.根据权利要求1所述的单片3D IC,其中所述CNFET包含至少1,000个CNFET。
3.根据权利要求1所述的单片3D IC,其中所述CNFET包含具有功能化碳纳米管的CNFET。
4.根据权利要求1所述的单片3D IC,其中所述至少50种功能材料包括以下中的至少一种:脱氧核糖核酸(DNA)、金属配位络合物、卟啉、自组装单层(SAM)、聚合物、吡咯衍生物、酞菁或其组合。
5.根据权利要求1所述的单片3D IC,其中所述气体的相应组分中的至少一种包含芬太尼,并且所述50种功能材料被共同功能化以检测芬太尼。
6.根据权利要求1所述的单片3D IC,其中所述CNFET经配置以对所述气体的相应组分产生相应独特响应,所述存储器层经配置以存储所述相应独特响应的相应表示,并且所述处理层经配置以基于所述相应表示识别所述气体的相应组分。
7.根据权利要求1所述的单片3D IC,其中所述存储器层包含多个电阻式随机存取存储器(RRAM)元件。
8.根据权利要求1所述的单片3D IC,其进一步包含:
多个层间通孔(ILV),其将所述感测层可操作地耦接到所述存储器层。
9.一种系统,其包含:
呼吸机,其用于将空气循环给患者;以及
根据权利要求1所述的单片3D IC,其与所述呼吸机流动连通,其中所述患者的呼气中的挥发性有机化合物为所述相应组分中的至少一种。
10.一种诊断呼吸机相关性肺炎(VAP)的方法,所述方法包含:
感测患者的呼气;以及
基于所述患者的呼气将所述患者诊断为患有VAP。
11.根据权利要求10所述的方法,其进一步包含:
在所述患者的呼气中检测至少一种挥发性有机化合物(VOC)。
12.根据权利要求11所述的方法,其中检测所述至少一种VOC包含用装置感测所述至少一种VOC,所述装置包含:
感测层,其包含碳纳米管场效应晶体管(CNFET),所述碳纳米管场效应晶体管经功能化以检测所述至少一种VOC;
存储器层,其可操作地耦接到所述感测层;以及
处理层,其可操作地耦接到所述存储器层。
13.根据权利要求10所述的方法,其进一步包含:
确定所述患者的呼气中相对于所述患者的基线呼气的变化;以及
基于所述呼气的变化确定所述患者患有VAP。
14.一种诊断呼吸机相关性肺炎(VAP)的方法,所述方法包含:
培养来自VAP易感患者的细菌;
用装置检测由所述细菌释放的至少一种挥发性有机化合物(VOC),所述装置包含:
感测层,其包含碳纳米管场效应晶体管(CNFET),所述碳纳米管场效应晶体管经功能化以检测所述至少一种VOC;
存储器层,其可操作地耦接到所述感测层;以及
处理层,其可操作地耦接到所述存储器层;以及
基于所述至少一种VOC将所述患者诊断为患有VAP。
15.一种方法,其包含:
用至少50种功能材料使感测层中的碳纳米管场效应晶体管(CNFET)功能化;
将存储器层耦接到所述感测层,以存储由所述CNFET生成的数据;以及
将处理层耦接到所述存储器层以基于由所述CNFET生成的所述数据识别气体的一种或多种组分。
16.根据权利要求15所述的方法,其进一步包含:
将所述CNFET暴露于所述气体,使得所述CNFET基于所述气体的至少一种组分生成所述数据;以及
基于所述数据识别所述气体的至少一种组分。
17.根据权利要求16所述的方法,其中所述气体的至少一种组分为与芬太尼相关的挥发性有机化合物,并且其中所述识别包括识别由于芬太尼而产生的所述气体的至少一种组分。
18.根据权利要求15所述的方法,所述功能化进一步包括:
将碳纳米管沉积在衬底上;
用所述至少50种功能材料使所述碳纳米管功能化;以及
沉积与所述碳纳米管接触的一种或多种材料以形成功能化CNFET。
19.根据权利要求18所述的方法,其中对于所述至少50种功能材料中的每种功能材料,功能化包括:
将含有所述功能材料的溶液滴加到所述碳纳米管中的至少一个上。
20.根据权利要求18所述的方法,其中对于所述至少50种功能材料中的每种功能材料,功能化包括:
将含有所述功能材料的雾化溶液喷涂到所述碳纳米管中的至少一个上。
21.根据权利要求15所述的方法,其中所述至少50种功能材料选自由以下组成的组:脱氧核糖核酸(DNA)、金属配位络合物、卟啉、自组装单层(SAM)、聚合物、吡咯衍生物、酞菁及其组合。
22.根据权利要求15所述的方法,其中所述CNFET包含至少1,000个CNFET。
23.根据权利要求15所述的方法,将所述感测层耦接到所述存储器层包含在所述感测层和所述存储器层之间形成层间通孔(ILV)。
CN201980050247.5A 2018-06-08 2019-06-07 用于气体感测的系统、装置和方法 Pending CN112585457A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862682497P 2018-06-08 2018-06-08
US62/682,497 2018-06-08
PCT/US2019/036006 WO2019236974A1 (en) 2018-06-08 2019-06-07 Systems, devices, and methods for gas sensing

Publications (1)

Publication Number Publication Date
CN112585457A true CN112585457A (zh) 2021-03-30

Family

ID=68770669

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980050247.5A Pending CN112585457A (zh) 2018-06-08 2019-06-07 用于气体感测的系统、装置和方法

Country Status (4)

Country Link
US (2) US11561195B2 (zh)
EP (1) EP3803365A4 (zh)
CN (1) CN112585457A (zh)
WO (1) WO2019236974A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113628741A (zh) * 2021-08-12 2021-11-09 生态环境部华南环境科学研究所 一种基于呼出气体标志物检测的健康状态识别方法
CN114496569A (zh) * 2021-12-27 2022-05-13 西安理工大学 带气体检测的无功补偿电容器

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111670486A (zh) 2018-01-29 2020-09-15 麻省理工学院 背栅场效应晶体管及其制造方法
WO2020086181A2 (en) 2018-09-10 2020-04-30 Massachusetts Institute Of Technology Systems and methods for designing integrated circuits
WO2020068812A1 (en) 2018-09-24 2020-04-02 Massachusetts Institute Of Technology Tunable doping of carbon nanotubes through engineered atomic layer deposition
US11813926B2 (en) 2020-08-20 2023-11-14 Denso International America, Inc. Binding agent and olfaction sensor
US11828210B2 (en) 2020-08-20 2023-11-28 Denso International America, Inc. Diagnostic systems and methods of vehicles using olfaction
US11881093B2 (en) 2020-08-20 2024-01-23 Denso International America, Inc. Systems and methods for identifying smoking in vehicles
US11636870B2 (en) 2020-08-20 2023-04-25 Denso International America, Inc. Smoking cessation systems and methods
US11760170B2 (en) 2020-08-20 2023-09-19 Denso International America, Inc. Olfaction sensor preservation systems and methods
US11932080B2 (en) 2020-08-20 2024-03-19 Denso International America, Inc. Diagnostic and recirculation control systems and methods
US11760169B2 (en) 2020-08-20 2023-09-19 Denso International America, Inc. Particulate control systems and methods for olfaction sensors
US20240044858A1 (en) * 2022-08-02 2024-02-08 Analog Devices, Inc. Electro-optical sensing of a target gas composition

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080210987A1 (en) * 2005-05-31 2008-09-04 Thales Array of Fet Transistors Having a Nanotube or Nanowire Semiconductor Element and Corresponding Electronic Device, For the Detection of Analytes
CN102095769A (zh) * 2010-11-29 2011-06-15 中国科学院苏州纳米技术与纳米仿生研究所 碳纳米管气敏传感器及其制备方法
WO2014113722A1 (en) * 2013-01-18 2014-07-24 Adamant Technologies, Inc. Sensors for analyte detection
US20160123919A1 (en) * 2013-04-25 2016-05-05 The Trustees Of The University Of Pennsylvania Opioid detection based on high quality graphene transistor arrays and a synthetic mu receptor
US20160148074A1 (en) * 2014-11-26 2016-05-26 Captricity, Inc. Analyzing content of digital images
CN106233464A (zh) * 2014-06-03 2016-12-14 加利福尼亚大学董事会 化学敏感场效应晶体管传感器
CN106462549A (zh) * 2014-04-09 2017-02-22 尹度普有限公司 使用从显微变化中进行的机器学习来鉴定实体对象
US20180089531A1 (en) * 2015-06-03 2018-03-29 Innereye Ltd. Image classification by brain computer interface

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0490877A3 (en) 1985-01-22 1992-08-26 Fairchild Semiconductor Corporation Interconnection for an integrated circuit
US6157252A (en) 1998-09-09 2000-12-05 The Engineering Consortium, Inc. Battery polarity insensitive integrated circuit amplifier
US6191724B1 (en) 1999-01-28 2001-02-20 Mcewan Thomas E. Short pulse microwave transceiver
US7547931B2 (en) * 2003-09-05 2009-06-16 Nanomix, Inc. Nanoelectronic capnometer adaptor including a nanoelectric sensor selectively sensitive to at least one gaseous constituent of exhaled breath
US6988925B2 (en) 2002-05-21 2006-01-24 Eikos, Inc. Method for patterning carbon nanotube coating and carbon nanotube wiring
WO2004024428A1 (en) 2002-09-10 2004-03-25 The Trustees Of The University Pennsylvania Carbon nanotubes: high solids dispersions and nematic gels thereof
US7135728B2 (en) 2002-09-30 2006-11-14 Nanosys, Inc. Large-area nanoenabled macroelectronic substrates and uses therefor
EP1547139A4 (en) 2002-09-30 2009-08-26 Nanosys Inc MACRO-ELECTRONIC SUBSTRATE WITH HIGH NANO-ACTIVATION SURFACE AREA AND USES THEREOF
EP1792320A4 (en) 2004-09-21 2010-08-04 Nantero Inc RESISTIVE ELEMENTS USING CARBON NANOTUBES
EP1825038B1 (en) 2004-12-16 2012-09-12 Nantero, Inc. Aqueous carbon nanotube applicator liquids and methods for producing applicator liquids thereof
US8362525B2 (en) 2005-01-14 2013-01-29 Nantero Inc. Field effect device having a channel of nanofabric and methods of making same
US7598544B2 (en) 2005-01-14 2009-10-06 Nanotero, Inc. Hybrid carbon nanotude FET(CNFET)-FET static RAM (SRAM) and method of making same
US7592251B2 (en) 2005-12-08 2009-09-22 Micron Technology, Inc. Hafnium tantalum titanium oxide films
US7761275B2 (en) 2005-12-19 2010-07-20 International Business Machines Corporation Synthesizing current source driver model for analysis of cell characteristics
US8257676B2 (en) 2006-10-03 2012-09-04 Sandia Corporation Method for synthesizing carbon nanotubes
KR100823554B1 (ko) 2006-10-31 2008-04-22 (주) 파루 고분자 유전체가 나노 코팅된 단일 벽 탄소 나노튜브 및이를 이용한 박막트랜지스터
US9331209B2 (en) 2008-01-09 2016-05-03 Faquir C Jain Nonvolatile memory and three-state FETs using cladded quantum dot gate structure
WO2009135070A1 (en) * 2008-05-01 2009-11-05 Spiration, Inc. Direct lung sensor systems, methods, and apparatuses
US8946683B2 (en) 2008-06-16 2015-02-03 The Board Of Trustees Of The University Of Illinois Medium scale carbon nanotube thin film integrated circuits on flexible plastic substrates
US7893492B2 (en) 2009-02-17 2011-02-22 International Business Machines Corporation Nanowire mesh device and method of fabricating same
US9053941B2 (en) 2009-05-13 2015-06-09 The Trustees Of The University Of Pennsylvania Photolithographically defined contacts to carbon nanostructures
WO2011103558A1 (en) 2010-02-22 2011-08-25 Nantero, Inc. Logic elements comprising carbon nanotube field effect transistor (cntfet) devices and methods of making same
US8304840B2 (en) 2010-07-29 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Spacer structures of a semiconductor device
US8273610B2 (en) * 2010-11-18 2012-09-25 Monolithic 3D Inc. Method of constructing a semiconductor device and structure
US20120089041A1 (en) * 2010-10-09 2012-04-12 Dan Schlager Ultrasound-observable, respiratory gas-warming, parameter-sensing endotracheal tube
US9224813B2 (en) 2011-03-02 2015-12-29 King Abdullah University Of Science And Technology Cylindrical-shaped nanotube field effect transistor
US8785911B2 (en) 2011-06-23 2014-07-22 International Business Machines Corporation Graphene or carbon nanotube devices with localized bottom gates and gate dielectric
US8772910B2 (en) 2011-11-29 2014-07-08 International Business Machines Corporation Doping carbon nanotubes and graphene for improving electronic mobility
US9219129B2 (en) 2012-05-10 2015-12-22 International Business Machines Corporation Inverted thin channel mosfet with self-aligned expanded source/drain
US9209288B2 (en) 2012-12-21 2015-12-08 Intel Corporation Reduced scale resonant tunneling field effect transistor
WO2014165686A2 (en) 2013-04-04 2014-10-09 The Board Of Trustees Of The University Of Illinois Purification of carbon nanotubes via selective heating
US20160147934A1 (en) 2013-06-21 2016-05-26 California Institute Of Technology Determination of electronic circuit robustness
US9613879B2 (en) 2013-10-11 2017-04-04 Northwestern University Ultralow power carbon nanotube logic circuits and method of making same
US9262575B2 (en) 2014-02-10 2016-02-16 International Business Machines Corporation Circuit-level abstraction of multigate devices using two-dimensional technology computer aided design
CN105097904B (zh) 2014-05-05 2019-01-25 中芯国际集成电路制造(上海)有限公司 隧穿碳纳米管场效应晶体管及其制造方法
US20150370948A1 (en) 2014-06-23 2015-12-24 Synopsys, Inc. Memory cells having transistors with different numbers of nanowires or 2d material strips
US10006910B2 (en) * 2014-12-18 2018-06-26 Agilome, Inc. Chemically-sensitive field effect transistors, systems, and methods for manufacturing and using the same
CN105810746B (zh) 2014-12-31 2019-02-05 清华大学 N型薄膜晶体管
CN104778324A (zh) 2015-04-16 2015-07-15 合肥工业大学 一种延缓老化并容忍软错误的集成电路选择性加固方法
LU92758B1 (en) 2015-06-29 2016-12-30 Luxembourg Inst Of Science And Tech (List) Carbon-nanotube-based composite coating and production method thereof
CN105336792B (zh) 2015-11-02 2019-03-01 京东方科技集团股份有限公司 碳纳米管半导体器件及其制备方法
US20170162710A1 (en) 2015-12-02 2017-06-08 Advanced Device Research Inc. Method for Fabricating Enhancement-mode Field Effect Transistor Having Metal Oxide Channel Layer
EP3185301A1 (en) 2015-12-22 2017-06-28 IMEC vzw Multi-gate tunnel field-effect transistor (tfet)
WO2018097042A1 (ja) 2016-11-22 2018-05-31 東レ株式会社 電界効果型トランジスタ、その製造方法、それを用いた無線通信装置および商品タグ
US10256320B1 (en) 2017-10-06 2019-04-09 International Business Machines Corporation Vertical field-effect-transistors having a silicon oxide layer with controlled thickness
US20190167152A1 (en) * 2017-12-01 2019-06-06 Koninklijke Philips N.V. Method and apparatus for the diagnosis of pneumonia using exhaled breath metabolomics
CN111670486A (zh) 2018-01-29 2020-09-15 麻省理工学院 背栅场效应晶体管及其制造方法
US10971585B2 (en) 2018-05-03 2021-04-06 International Business Machines Corporation Gate spacer and inner spacer formation for nanosheet transistors having relatively small space between adjacent gates
WO2020086181A2 (en) 2018-09-10 2020-04-30 Massachusetts Institute Of Technology Systems and methods for designing integrated circuits
WO2020068812A1 (en) 2018-09-24 2020-04-02 Massachusetts Institute Of Technology Tunable doping of carbon nanotubes through engineered atomic layer deposition
WO2020113205A1 (en) 2018-11-30 2020-06-04 Massachusetts Institute Of Technology Rinse - removal of incubated nanotubes through selective exfoliation

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080210987A1 (en) * 2005-05-31 2008-09-04 Thales Array of Fet Transistors Having a Nanotube or Nanowire Semiconductor Element and Corresponding Electronic Device, For the Detection of Analytes
CN102095769A (zh) * 2010-11-29 2011-06-15 中国科学院苏州纳米技术与纳米仿生研究所 碳纳米管气敏传感器及其制备方法
WO2014113722A1 (en) * 2013-01-18 2014-07-24 Adamant Technologies, Inc. Sensors for analyte detection
US20160123919A1 (en) * 2013-04-25 2016-05-05 The Trustees Of The University Of Pennsylvania Opioid detection based on high quality graphene transistor arrays and a synthetic mu receptor
CN106462549A (zh) * 2014-04-09 2017-02-22 尹度普有限公司 使用从显微变化中进行的机器学习来鉴定实体对象
CN106233464A (zh) * 2014-06-03 2016-12-14 加利福尼亚大学董事会 化学敏感场效应晶体管传感器
US20160148074A1 (en) * 2014-11-26 2016-05-26 Captricity, Inc. Analyzing content of digital images
US20180089531A1 (en) * 2015-06-03 2018-03-29 Innereye Ltd. Image classification by brain computer interface

Non-Patent Citations (6)

* Cited by examiner, † Cited by third party
Title
MAX M. SHULAKER 等: "Three-dimensional integration of nanotechnologies for computing and data storage on a single chip", 《NATURE》 *
MAX M. SHULAKER 等: "Three-dimensional integration of nanotechnologies for computing and data storage on a single chip", 《NATURE》, vol. 547, 6 July 2017 (2017-07-06), pages 74 - 76 *
MOHAMMAD SADEGH EBRAHIMI 等: "Monolithic 3D Integration Advances and Challenges: From Technology to System Levels", 《IEEE》 *
MOHAMMAD SADEGH EBRAHIMI 等: "Monolithic 3D Integration Advances and Challenges: From Technology to System Levels", 《IEEE》, 16 October 2014 (2014-10-16), pages 1 - 2, XP032731329, DOI: 10.1109/S3S.2014.7028198 *
陈晓航 等: "基于碳纳米管的呼吸传感器及便携式系统设计", 《郑州大学学报(理学版)》 *
陈晓航 等: "基于碳纳米管的呼吸传感器及便携式系统设计", 《郑州大学学报(理学版)》, vol. 42, no. 03, 15 September 2010 (2010-09-15), pages 80 - 83 *

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113628741A (zh) * 2021-08-12 2021-11-09 生态环境部华南环境科学研究所 一种基于呼出气体标志物检测的健康状态识别方法
CN114496569A (zh) * 2021-12-27 2022-05-13 西安理工大学 带气体检测的无功补偿电容器

Also Published As

Publication number Publication date
EP3803365A1 (en) 2021-04-14
US20230204536A1 (en) 2023-06-29
WO2019236974A8 (en) 2021-09-23
US11561195B2 (en) 2023-01-24
EP3803365A4 (en) 2022-01-26
US20210247356A1 (en) 2021-08-12
WO2019236974A1 (en) 2019-12-12

Similar Documents

Publication Publication Date Title
US20230204536A1 (en) Monolithic 3d integrated circuit for gas sensing and method of making and system using
Kaloumenou et al. Breath analysis: a promising tool for disease diagnosis—the role of sensors
Etayash et al. Microfluidic cantilever detects bacteria and measures their susceptibility to antibiotics in small confined volumes
Zheng et al. Surface‐enhanced Raman spectroscopy for the chemical analysis of food
Ali et al. Disposable all-printed electronic biosensor for instantaneous detection and classification of pathogens
ES2941802T3 (es) Detección de resistencia a fármacos de microorganismos
US20160041155A1 (en) Biomarker sensor array and circuit and methods of using and forming same
Segev‐Bar et al. Multi‐Parametric Sensing Platforms Based on Nanoparticles
Zhao et al. One-dimensional nanostructure field-effect sensors for gas detection
Sidhu et al. Planar interdigitated aptasensor for flow-through detection of Listeria spp. in hydroponic lettuce growth media
CN109298021A (zh) 用于诊断结核的传感器技术
Capman et al. Machine learning-based rapid detection of volatile organic compounds in a graphene electronic nose
Dhanekar Smart and intelligent E‐nose for sensitive and selective chemical sensing applications
Drera et al. Exploring the performance of a functionalized CNT-based sensor array for breathomics through clustering and classification algorithms: from gas sensing of selective biomarkers to discrimination of chronic obstructive pulmonary disease
Soares et al. Detection of Staphylococcus aureus in milk samples using impedance spectroscopy and data processing with information visualization techniques and multidimensional calibration space.
Ataei Kachouei et al. Internet of Things‐Enabled Food and Plant Sensors to Empower Sustainability
Erozan et al. Reverse engineering of printed electronics circuits: From imaging to netlist extraction
Kumar et al. Foodborne disease symptoms, diagnostics, and predictions using artificial intelligence-based learning approaches: a systematic review
Benserhir et al. Recent Developments for the Detection of Escherichia Coli Biosensors Based on Nano-Objects—A Review
Yang et al. Recognition algorithms in E-nose: A Review
Basabe-Desmonts et al. Cross-reactive sensor array for metal ion sensing based on fluorescent SAMs
Jain et al. Dielectric modulated bilayer electrode top contact OTFT for label free biosensing
Sun et al. Plasmonic sensing studies of a gas-phase cystic fibrosis marker in moisture laden air
Chen et al. The research of chronic gastritis diagnosis with electronic noses
Ragusa et al. Design of a quantitative readout in a point-of-care device for cisplatin detection

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination