CN112470259A - 使用快速修整序列控制芯部关键尺寸变化的方法 - Google Patents

使用快速修整序列控制芯部关键尺寸变化的方法 Download PDF

Info

Publication number
CN112470259A
CN112470259A CN201980049661.4A CN201980049661A CN112470259A CN 112470259 A CN112470259 A CN 112470259A CN 201980049661 A CN201980049661 A CN 201980049661A CN 112470259 A CN112470259 A CN 112470259A
Authority
CN
China
Prior art keywords
trim
wafer
core
target
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980049661.4A
Other languages
English (en)
Inventor
普尔凯特·阿加瓦尔
阿德里安·拉沃伊
拉维·库马尔
普鲁肖塔姆·库马尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN112470259A publication Critical patent/CN112470259A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/327Arrangements for generating the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Automation & Control Theory (AREA)
  • Drying Of Semiconductors (AREA)
  • General Induction Heating (AREA)
  • Electrical Discharge Machining, Electrochemical Machining, And Combined Machining (AREA)

Abstract

芯部材料的图案形成在晶片上,以包含具有关键尺寸的芯部特征。修整量指示待从芯部特征的竖直定向表面移除的平均厚度量。修整轮廓指示,从芯部特征的竖直定向表面的厚度移除的变化的多少被应用作为晶片上的径向位置的函数。第一组数据将修整量与一或多个等离子体修整工艺参数相关联。第二组数据将修整轮廓与一或多个等离子体修整工艺参数相关联。基于该修整量、修整轮廓以及第一和第二组数据,确定在晶片上达成该修整量及修整轮廓的成组的等离子体修整工艺参数,且对应等离子体修整工艺在晶片上执行。

Description

使用快速修整序列控制芯部关键尺寸变化的方法
技术领域
本公开内容涉及半导体器件加工。
背景技术
等离子体蚀刻工艺经常用于半导体器件制造。在等离子体蚀刻工艺中,将包含制造中的半导体器件的半导体晶片暴露于等离子体,该等离子体系与该半导体晶片上的至少一种材料相互作用,以移除该至少一种材料。该等离子体可使用特定反应气体来产生,该反应气体将造成等离子体的成分与待从半导体晶片移除的材料相互作用,而不与在晶片上不期望移除的其他材料显著相互作用。并且,在若干等离子体蚀刻工艺中,偏置电压可施加至半导体晶片上,以通过将等离子体的带电成分以更垂直的方向朝半导体晶片吸引而使得能对半导体晶片上的特征进行各向异性蚀刻。就是在该背景下,产生本公开内容。
发明内容
一示例性实施方案中,公开了一种控制芯部关键尺寸的方法。该方法包含:获取晶片,其中芯部材料的图案形成在所述晶片上。所述芯部材料的所述图案包含具有在水平方向上测得的关键尺寸的一或多个芯部特征。所述水平方向平行于所述晶片的底部表面。所述方法包括接收目标修整量作为输入参数。所述目标修整量指示待从所述一或多个芯部特征的竖直定向表面移除的平均厚度量。所述方法还包含接收目标修整轮廓作为输入参数。所述目标修整轮廓指示:当执行所述晶片上的所述目标修整量时,从所述一或多个芯部特征的竖直定向表面的厚度移除的变化的多少被应用作为所述晶片的中心与所述晶片的周边边缘之间的在所述晶片上的径向位置的函数。所述方法还包含:访问第一组数据,所述第一组数据将修整量与一或多个等离子体修整工艺参数相关联。所述方法还包含:访问第二组数据,其将修整轮廓与一或多个等离子体修整工艺参数相关联。所述方法还包含:基于所述目标修整量、所述目标修整轮廓、所述第一组数据和所述第二组数据,确定用于在所述晶片上实现所述目标修整量和所述目标修整轮廓的成组的等离子体修整工艺参数。所述方法还包含:使用所述成组的等离子体修整工艺参数,在所述晶片上执行等离子体修整工艺,以建立所述芯部材料的经修改的图案,其包含具有在所述水平方向上测得的经调整的关键尺寸的所述一或多个芯部特征。
在一示例性实施方案中,公开了一种方法,其用于控制芯部关键尺寸。该方法包含取得多个晶片,芯部材料的图案在多个晶片中的每一个上形成。该芯部材料的图案包含具有在水平方向上测得的关键尺寸的芯部特征,其中该水平方向实质平行于给定晶片的底部表面。该方法还包含接收目标修整量作为针对多个晶片中的每一个的输入参数。该多个晶片中的每一个具有各自的目标修整量。针对特定晶片的该目标修整量指示待从该特定晶片的芯部特征的竖直定向表面移除的平均厚度量。该方法还包含接收目标修整轮廓作为针对该多个晶片中的每一个的输入参数。该多个晶片中的每一个具有各自的目标修整轮廓。针对该特定晶片的目标修整轮廓指示:当执行该特定晶片上的该目标修整量时,有多少从芯部特征的竖直定向表面的厚度移除的变化被应用作为该特定晶片的中心与该特定晶片的周边边缘之间在该特定晶片上径向位置的函数。该方法还包含:针对该多个晶片中的每一个,访问第一组数据,该第一组数据将针对该特定晶片的修整量与一或多个等离子体修整工艺参数相关联。该第一组数据可应用于特定的等离子体处理站,该特定晶片是在该特定的等离子体处理站中进行处理。该方法还包含:针对该多个晶片中的每一个,访问第二组数据,其将针对该特定晶片的修整轮廓与一或多个等离子体修整工艺参数相关联。该第二组数据可应用于该特定的等离子体处理站,该特定晶片是在该特定的等离子体处理站中进行处理。该方法还包含确定基础组的等离子体修整工艺参数,用于在该多个晶片中的每一个上的基础修整工艺。该基础组的等离子体修整工艺参数基于针对该多个晶片中的每一个的该目标修整量、针对该多个晶片中的每一个的该目标修整轮廓、针对该多个等离子体处理站的该第一组数据、以及针对该多个等离子体处理站的该第二组数据而确定。该基础修整工艺针对该多个晶片中的每一个是相同的。该方法还包含针对该多个晶片中的每一个确定各自的补充组的等离子体修整工艺参数,其用于在该多个晶片中的每一个上的各自的快速修整工艺,以实现针对该特定晶片的目标修整量以及针对该特定晶片的目标修整轮廓。基于针对该多个晶片中的每一个的该目标修整量、针对该多个晶片中的每一个的目标修整轮廓、针对该多个等离子体处理站的第一组数据、针对该多个等离子体处理站的第二组数据、以及该基础组的等离子体修整工艺参数,针对该多个晶片中的每一个确定各自的补充组的等离子体修整工艺参数。该快速修整工艺针对该多个晶片中的每一个进行定制。该方法还包含在该特定晶片被处理所在的该特定等离子体处理站中在该多个晶片中的每一个上执行该基础修整工艺。该方法还包含:在该基础修整工艺完成之后,在该特定晶片被处理所在的该特定等离子体处理站之中在该多个晶片中的每一个上执行各自的快速修整工艺。
在一示例性实施方案中,公开了一种用于控制芯部关键尺寸的控制器。该控制器包含:输入模块,其被构造成获得目标修整量作为输入参数。所述目标修整量指示待从晶片上的芯部特征的竖直定向表面移除的平均厚度量。所述芯部特征在所述晶片上形成芯部材料的图案的部分。所述芯部特征具有在平行于所述晶片的底部表面的水平方向上测得的关键尺寸。所述输入模块被构造成获得目标修整轮廓作为输入参数。所述目标修整轮廓指示:当执行所述晶片上的所述目标修整量时,从所述芯部特征的竖直定向表面的厚度移除的变化的多少被应用作为所述晶片的中心与所述晶片的周边边缘之间的在所述晶片上的径向位置的函数。所述输入模块被构造成获得第一组数据,其将修整量与一或多个等离子体修整工艺参数相关联。所述输入模块被构造成获得第二组数据,其将修整轮廓与一或多个等离子体修整工艺参数相关联。所述控制器还包含:分析模块,其被构造成基于所述第一组数据和所述第二组数据的分析用于在所述晶片上实现所述目标修整量和所述目标修整轮廓的成组的等离子体修整工艺参数。所述控制器还包含:执行模块,其被构造成使用所述成组的等离子体修整工艺参数,指导在所述晶片上执行等离子体修整工艺,以建立所述芯部材料的经修改的图案,其包含具有在所述水平方向上测得的经调整的关键尺寸的所述芯部特征。
在一示例性实施方案中,公开了一种用于在多个晶片上控制芯部关键尺寸的方法。该方法包含:获取多个晶片,其中芯部材料的图案形成在所述多个晶片中的每一个上。所述芯部材料的所述图案包含具有在水平方向上测得的关键尺寸的芯部特征。所述水平方向实质平行于给定晶片的底部表面。所述多个晶片中的每一个存在于多个等离子体处理站中的单独的一个中。所述方法还包含:在所述多个等离子体处理站中以同时的方式在所述多个晶片中的每一个上执行基础修整工艺。所述基础修整工艺被定义成在所述多个晶片上缩减所述芯部特征的所述关键尺寸。所述基础修整工艺在所述多个等离子体处理站中的每一个中以相同的方式执行。所述方法还包含:在所述基础修整工艺完成之后,在所述多个等离子体处理站中在所述多个晶片中的每一个上执行晶片特定的快速修整工艺。所述晶片特定的快速修整工艺被定义成进一步缩减在所述多个晶片上的所述芯部特征的所述关键尺寸。所述晶片特定的快速修整工艺在所述多个等离子体处理站中的每一个中以单独定义的方式执行。针对所述多个晶片的给定晶片的所述晶片特定的快速修整工艺被定义成,使得针对所述给定晶片的所述基础修整工艺与所述晶片特定的快速修整工艺的组合实现在所述给定晶片上的晶片特定的目标修整量且实现在所述给定晶片上的晶片特定的目标修整轮廓。
在一示例性实施方案中,公开了一种控制芯部关键尺寸的方法。所述方法包含:获取晶片,其中芯部材料的图案形成在所述晶片上。所述芯部材料的所述图案包含具有在水平方向上测得的关键尺寸的一或多个芯部特征。所述水平方向平行于所述晶片的底部表面。所述方法还包含:接收目标修整量作为输入参数。所述目标修整量指示待从所述一或多个芯部特征的竖直定向表面移除的平均厚度量。所述方法还包含:访问成组的数据,其将修整量与一或多个等离子体修整工艺参数相关联。所述方法包含:基于所述目标修整量和所述成组的数据,确定用于在所述晶片上实现所述目标修整量的成组的等离子体修整工艺参数。所述方法还包含:使用所述成组的等离子体修整工艺参数,在所述晶片上执行等离子体修整工艺,以建立所述芯部材料的经修改的图案,其包含具有在所述水平方向上测得的经调整的关键尺寸的所述一或多个芯部特征。
附图说明
图1A、1B、1C、1D和1E根据一些实施方案显示穿过经历SADP工艺中的多个操作的晶片的一部分的竖直剖面图。
图2根据一些实施方案显示了穿过等离子体处理站的竖直剖面图,该等离子体处理站可用于执行本文所公开的方法。
图3根据一些实施方案显示了多站式处理工具的俯视图,该多站式处理工具包含四个等离子体处理站。
图4根据一些实施方案显示了与入站负载锁和出站负载锁对接的多站式处理工具的示意图。
图5根据一些实施方案显示了一方法的流程图,该方法用于控制芯部关键尺寸。
图6根据一些实施方案显示了一方法的流程图,该方法用于控制芯部关键尺寸。
图7根据一些实施方案显示了一方法,其用于控制在多个晶片上的芯部关键尺寸。
图8根据一些实施方案显示了一控制器,其用于控制芯部关键尺寸。
具体实施方式
在以下说明中,描述了许多特定细节,以提供对本公开内容的实施方案的理解。然而,明显的是,对本领域技术人员而言,本公开内容可以在没有这些特定细节的全部或部分的情况下实施。另一方面,众所周知的工艺操作不再详细描述,以免不必要使本公开内容难以理解。
在半导体晶片(以下称“晶片”)加工中,芯部材料层可沉积在晶片上并被图案化,用于在处理晶片上/内的一或多种下层材料的操作中作为掩模。在多种实施方案中,晶片可在形式、形状和/或尺寸上变化。举例来说,在一些实施方案中,此处所称的晶片可对应200mm(毫米)直径的半导体晶片、300mm直径的半导体晶片、或450mm直径的半导体晶片。并且,在一些实施方案中,此处所称的晶片可以具有非圆形的形状,例如用于平面显示器的矩形衬底等等,以及其他形状。
该芯部材料层暴露于光图案,该光图案在光刻工艺中穿过光罩并且到达晶片上,使得芯部材料可显影为规定的特征图案,其中芯部特征中的一些具有关键尺寸。在该背景下的关键尺寸意指在特定加工工艺中受控制的最小尺寸。芯部特征的关键尺寸是通过用于将芯部材料层图案化的光刻工艺的能力进行控制。在使用标准光刻工艺(例如使用基于193纳米光的光刻法),可减小芯部特征的关键尺寸的程度方面,存在限制。意图建立具有过小关键尺寸的芯部特征,可能在使用标准光刻工艺图案化芯部材料层的操作上造成问题。因此,标准光刻工艺有效地限制可将芯部特征的关键尺寸制作成多小。然而,一旦以光刻工艺可准确提供的最小关键尺寸形成芯部特征,就可以使用这些芯部特征,通过执行一系列蚀刻工艺来建立具有基于这种光刻图案化芯部特征的特征的掩模,来制作甚至更小关键尺寸的特征。这种蚀刻工艺包含自对准多重图案化(SAMP)工艺,且尤其可以包含自对准双重图案化(SADP)工艺以及自对准四重图案化(SAQP)工艺。
图1A-1E根据一些实施方案显示了穿过经历SADP工艺中的多个操作的晶片101的一部分的竖直剖面图。图1A显示穿过晶片101的部分的竖直剖面图,其中,待蚀刻的目标材料层105沉积在晶片101上,即,沉积在晶片101的下层材料107上。图1A还显示了图案化芯部特征103,其形成于目标材料105上。在多种实施方案中,芯部特征103可由以下材料构成:光致抗蚀剂材料或碳材料,例如旋涂碳、化学气相沉积(CVD)碳、等离子体增强化学气相沉积(PECVD)碳、或可流动碳混合物等等。芯部特征103具有关键尺寸CD1,其是在与晶片101的底部表面102实质平行的水平方向上测量。在一些情况下,图案化芯部特征103可称为芯部心轴。在一些实施方案中,芯部特征103的关键尺寸CD1可以以光刻工艺可准确提供的最小尺寸进行加工。从图1A所示构造,SADP工艺继续进行芯部修整工艺,其中,芯部特征103是在等离子体蚀刻工艺中进行侧向修整。在一些实施方案中,等离子体蚀刻工艺为基于氧的等离子体蚀刻工艺。然而,在其他实施方案中,等离子体蚀刻工艺实质上可使用适于蚀刻芯部特征103材料的任何工艺气体。图1B显示了在对芯部特征103进行侧向修整的芯部修整工艺之后穿过图1A的晶片101的部分的竖直剖面图。图1B显示了,在芯部修整工艺之后,芯部特征103的关键尺寸CD1缩减到较小的关键尺寸CD2,即CD2<CD1。在多种实施方案中,芯部修整工艺可使用合适地限定且受控的等离子体蚀刻工艺执行。
从图1B所示构造,SADP工艺继续进行在芯部特征103上掩模材料109(例如氧化物材料)的保形沉积。图1C显示了在芯部特征103上的掩模材料109的保形沉积之后穿过图1B的晶片101的部分的竖直剖面图。在一些实施方案中,掩模材料109使用原子层沉积工艺进行沉积。从图1C所示构造,SADP工艺继续进行等离子体蚀刻工艺,其中掩模材料109的顶部部分被移除以使下层芯部特征103暴露,且其中芯部特征103被移除。在一些实施方案中,用于移除掩模材料109的顶部部分和芯部特征103的等离子体蚀刻工艺可以是各向异性蚀刻工艺,其定义成以实质上自上而下方式移除材料。在移除掩模材料109的顶部部分和芯部特征103的等离子体蚀刻工艺之后,掩模材料109的侧间隔物保留在晶片101上。
图1D显示了,在用于移除掩模材料109的顶部部分和芯部特征103以形成掩模材料109的侧间隔物的等离子体蚀刻工艺之后,穿过图1C的晶片101的部分的竖直剖面图。掩模材料的该侧间隔物具有在水平方向测得的厚度LW。厚度LW可称为线宽。如图1D所显示的,节距P被定义为掩模材料109a的侧间隔物的水平厚度LW的两倍、第一间距S1和第二间距S2之和。如图1D所显示的,在给定节距P之内,有两个具有水平厚度LW的掩模材料109的侧间隔物。第一间距S1为以下项的函数:芯部修整工艺、以及在掩模材料109的保形沉积期间芯部特征103的材料消耗量。第二间距S2为以下项的函数:第一间距S1、以及掩模材料109的侧间隔物的水平厚度LW。掩模材料109的侧间隔物被用作掩模,以等离子体蚀刻进入目标材料105的特征。图1E显示了,在用于从目标材料105形成特征的等离子体蚀刻工艺之后,穿过图1D的晶片101的部分的竖直剖面图。
期望的是,第一间距S1实质上等于芯部修整工艺之后的芯部特征103的关键尺寸CD2。并且,期望的是,第二间距S2实质等于第一间距S1。在整个晶片101上芯部特征103的关键尺寸CD1、CD2的变化称为关键尺寸非均匀性(CDNU)。期望的是,在整个晶片101上将CDNU最小化。并且,应理解的是,CDNU可存在于特定晶片101之内(WiW(晶片内))和/或介于不同晶片101之间(WTW(晶片间))。CDNU(WiW和WTW)对于在晶片101上形成的若干管芯会导致器件失效,和/或对于不同晶片101上所形成的不同管芯会导致器件性能上的变化。关键尺寸失衡量(CDIMB)等于在第一间距S1与第二间距S2之间的差的绝对值。举例来说,针对晶片101的特定区域/面积的CDIMB等于在晶片101的该特定区域/面积内介于平均S1与平均S2数值之间的差的绝对值。如果芯部特征103的关键尺寸CD1、CD2在整个晶片101上变化,则第一间距S1和第二间距S2可在整个晶片101上不同,且可导致CDIMB的增加。期望是,CDIMB尽可能接近零。应理解,芯部修整工艺在整个晶片101上控制芯部特征103的缩减关键尺寸CD2,且因此对第一间距S1具有直接影响,且对第二间距S2具有贡献作用。并且,如果芯部修整工艺在整个晶片101上非均匀,芯部特征103的缩减关键尺寸CD2在整个晶片101上可能是非均匀的,且这可导致在整个晶片101上非零的CDIMB。
此外,即使在不同的等离子体处理站中的每一个中执行相同的蚀刻工艺配方,不同的等离子体处理站可提供不同的蚀刻结果。这个在蚀刻结果上站到站的变化可部分由于室部件上的公差内变化、和/或部分由于内部室表面条件上的变化引起。因此,通过在不同等离子体处理站中执行芯部修整工艺所实现的芯部特征103的缩减关键尺寸CD2在站与站之间会变化,即使是在各站中执行相同的芯部修整工艺也如此。并且,各个等离子体处理站可具有本身的WiW CDNU。因此,WiW CDNU可在站与站之间变化。
此处公开的方法和系统,用于在经历SAMP加工工艺的晶片上执行芯部关键尺寸修整工艺,以缩减和/或消除WiW CDNU和/或WTW CDNU。WiW CDNU和/或WTW CDNU的缩减和/或消除将用于缩减和/或消除WiW CDIMB和/或WTW CDIMB。如果就CDNU(WiW和/或WTW)而言,事先已知芯部关键尺寸修整工艺如何执行,则能够事先调整芯部关键尺寸修整工艺以补偿CDNU。举例来说,如果已知芯部关键尺寸修整工艺在晶片中心较晶片边缘提供较高的蚀刻速率,则可将芯部关键尺寸修整工艺事先调整,以降低在晶片中心的蚀刻速率和/或增加在晶片边缘的蚀刻速率。此处所公开方法和系统提供用于调整芯部关键尺寸修整工艺,以对在SAMP加工工艺期间可能发生的预期WiW CDNU和/或WTW CDNU进行补偿。参照图1A-1E,芯部关键尺寸修整工艺可进行控制,从而以对限定用于蚀刻目标材料105的最终掩模的第一间距S1和第二间距S2进行控制的方式修改芯部特征103的关键尺寸(将CD1改变至CD2),以使第一间距S1实质等于第二间距S2(WiW和/或WTW)。
根据若干实施方案,图2显示了穿过可用于执行此处所公开方法的衬底处理系统200的竖直剖面图。衬底处理系统200包含等离子体室202,其具有上室部分202A和下室部分202B。中心柱被建构以支撑基座240,在一实施方案中,基座240包含供电的下电极。基座240电连接至结合与分配器221,其进一步连接至多个匹配网络206。匹配网络206连接至多个射频(RF)产生器204。RF产生器204通过探测控制与系统控制部210(例如控制器等等)进行控制。控制器的示例包含处理器和存储器器件。举例而言,处理器为专用集成电路(ASIC)、可编程逻辑组件(PLD)、中央处理单元(CPU)、或微处理器等等。存储器器件的示例包含只读存储器(ROM)、随机存取存储器(RAM)、储存磁盘冗余阵列、硬盘、闪存等等。探测控制与系统控制部210通过执行工艺输入与控制规格208而操作衬底处理系统200。工艺输入和控制规格208包含工艺配方,例如功率水平、时序参数、工艺气体、晶片201的机械运动等等,以蚀刻晶片201和/或在晶片201上沉积或形成膜。
中心柱还显示为包含升降销220,其通过升降销控制部222进行控制。升降销220用于将晶片201从基座240升起以使得末端执行器能将晶片201拾起,以及用于在晶片201由末端执行器放置后使晶片201下降。衬底处理系统200还包含气体供应歧管212,其连接至工艺气体214,例如来自设施的气体化学品供应物等等。取决于所执行的处理,探测控制与系统控制部210控制经由气体供应歧管212的工艺气体214的输送。接着使所选择的气体流入喷头250,并且在空间容积(例如间隙等等)中进行分配,该空间容积限定在面向晶片201的喷头250的面与基座240之间。
此外,在多种实施方案中,工艺气体214预混合或不预混合。使用适当的阀控与质量流量控制机制,以在工艺的沉积与等离子体处理阶段期间确保正确的工艺气体被输送。工艺气体214经由出口离开等离子体室202。真空泵(例如一或二级机械式干抽泵、涡轮分子泵等等)将工艺气体抽出并通过封闭回路控制流量限制器件(例如节流阀或钟摆阀)而在等离子体室202内维持合适的低压力。
还显示了承载环251,其围绕基座240的外区。承载环251坐落在承载环支撑区域上,该承载环支撑区域是在基座240的中心部的晶片支撑区域往下的台阶。该承载环251包含它的碟结构的外边缘侧,例如外径等等,以及它的碟结构的晶片边缘侧,例如内径等等,该晶片边缘侧最接近晶片201坐落处。承载环251的晶片边缘侧包含多个接触支撑结构,在承载环251通过多个蜘蛛叉280抬升时,该多个接触支撑结构将晶片201抬起。承载环251因此是与晶片201一起被抬起,并且旋转至另一站,例如在多站式系统中。
在一些实施方案中,当RF功率从RF产生器204供应至基座240内的下电极时,在喷头250内的上电极接地。在一些实施方案中,取代将基座240经由匹配网络206电连接至RF产生器204,在喷头250内的上电极经由匹配网络206连接至RF产生器204,以从RF产生器204接收功率,而基座240内的下电极接地。在一些实施方案中,RF产生器204产生具有不同频率的RF信号,例如,RF产生器204中的一个产生具有低频的RF信号,且RF产生器的另一个产生具有高于该低频的高频的RF信号。
根据一些实施方案,图3显示了多站式处理工具300的俯视图,该多站式处理工具300包含四个等离子体处理站340A、340B、340C、340D。该四个等离子体处理站340A、340B、340C、340D通过蜘蛛叉280访问。在一实施方案中,没有隔离墙或其他机构来将一站与另一站隔离。各蜘蛛叉280包含第一和第二臂,各自围绕基座240各侧的一部分而进行设置。在该视图中,蜘蛛叉280以虚线进行绘制,以表示它们在承载环251下方。使用接合与旋转机构320,蜘蛛叉280升起并同时地将该承载环251从等离子体处理站340A、340B、340C、340D自承载环251的下表面抬起,且接着在使承载环251下降之前在两个或更多个等离子体处理站340A、340B、340C、340D之间旋转。在旋转期间,承载环251中的至少一个支撑晶片201至下一位置,以使进一步的等离子体工艺、处理和/或膜沉积发生在晶片201上。
根据一些实施方案,图4显示了群集式工具系统400,其用于处理衬底。群集式工具系统400一般安装在加工设施中。运输容器402(例如,前开式晶片传送盒(FOUP))是用于携带衬底(例如,晶片)往返群集式工具系统400。设备前端模块(EFEM)404包含机械手406,其构造成将晶片在运输容器402与负载锁408之间运输。运输模块410包含机械手412,其被配置成在负载锁408与数个工艺工具414中的一个之间运输晶片。在一些实施方案中,工艺工具414中的每一个是具有四个处理站340A、340B、340C、340D的多站式处理工具300,以允许多个晶片同时进行处理。然而,应理解,在其他实施方案中,各工艺工具414可包含少于或多于四个处理站。
在一些实施方案中,控制器420为包含群集式工具系统400的加工系统的部件。这样的加工系统可包含半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气体流系统等)。这些加工系统可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以被称为控制器420,其可以控制加工系统的各种部件或子部件。根据处理要求和/或加工系统类型,控制器420可以被编程以控制本文公开的任何工艺,包括处理气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、晶片转移进出工具和其他转移工具和/或与具体系统连接或通过接口连接的装载锁。
概括地说,控制器420可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用处理操作、启用清洁操作、启用端点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式发送到控制器420的指令,单独设置(或程序文件)定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定工艺的操作参数。在一些实现方式中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的加工期间完成一个或多个处理步骤。
在一些实现方式中,控制器420可以是与系统集成、耦合到系统、以其它方式联网到系统或其组合的计算机的一部分或耦合到该计算机。例如,控制器420可以在“云”中或是半导体加工设施主机系统的全部或一部分,其可以允许对晶片处理的远程访问。计算机可以实现对系统的远程访问以监视加工操作的当前进展、检查过去加工操作的历史、检查多个加工操作的趋势或性能标准,改变当前处理的参数、设置处理步骤以跟随当前的处理、或者开始新的处理。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供工艺配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户界面,然后将该参数和/或设置从远程计算机发送到系统。在一些示例中,控制器420接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的工艺的类型和工具的类型,控制器420被配置为与该工具接口或控制该工具。因此,如上所述,控制器420可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的工艺和控制)工作的一个或多个分立的控制器而呈分布式。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的工艺。
示例系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及可以与半导体晶片的加工和/或制备相关联或用于半导体晶片的加工和/或制备的任何其它半导体处理系统。如上所述,根据将由工具执行的一个或多个处理步骤,控制器420可以与一个或多个其他工具电路或模块、其它工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体加工设施中的工具位置和/或装载口运输的材料运输中使用的工具通信。
应理解,等离子体处理站340A、340B、340C、340D以及群集式工具系统400被提供作为示例。在经历SAMP加工工艺的晶片上执行芯部关键尺寸修整工艺来缩减和/或消除WiWCDNU和/或WTW CDNU的本文所公开的方法可以等同方式在不同于示例性等离子体处理站340A、340B、340C、340D以及群集式工具系统400的经适当构造的等离子体处理室/站执行。
在多种实施方案中,芯部关键尺寸修整工艺用于通过针对在各晶片上的晶片特定芯部修整量和/或修整轮廓(例如,中心到边缘)而补偿由于光刻的芯部特征103的关键尺寸CD1上的输入WTW变化而改善WTW CDNU。类似地,由于一个等离子体处理站与另一等离子体处理站相比在性能上的差异而在芯部特征103的缩减关键尺寸CD2上的变化可通过针对在各晶片上的晶片特定及站特定芯部修整量和/或修整轮廓而进行补偿。并且,由于光刻导致的WiW CDNU可通过定义芯部关键尺寸修整工艺而进行补偿,以在进一步的处理操作中调整WiW CDNU。
在光刻法完成而在输入晶片上形成具有关键尺寸CD1的芯部特征之后,输入晶片经历测量程序,其中芯部特征103的关键尺寸CD1是在该输入晶片上的各种不同位置处进行测量。接着,针对该输入晶片的WiW CDNU是根据芯部特征103的关键尺寸CD1的测得值确定。此外,在一些实施方案中,晶片是在芯部关键尺寸修整工艺完成之后经历测量程序,以在该晶片上的各种不同位置处测量缩减关键尺寸CD2以及确定针对该缩减关键尺寸CD2的WiWCDNU。针对晶片的芯部特征103的关键尺寸测量值作为晶片在其中进行处理的特定等离子体处理站的参考。因此,能够针对给定等离子体处理站对于晶片分析芯部特征103的关键尺寸测量值(CD1、CD2),并对于晶片执行芯部特征103的关键尺寸测量值(CD1、CD2)的站对站比较性分析。
图5根据一些实施方案显示了一方法的流程图,该方法用于控制芯部关键尺寸。该方法包含操作501,其用于获取晶片,其中芯部材料图案在晶片上形成。芯部材料的图案包含具有在水平方向上测得的关键尺寸(例如CD1)的一或多个芯部特征(例如103),其中该水平方向平行于晶片的底部表面。该方法还包含操作503,其用于接收作为输入参数的目标修整量。该目标修整量指示待从一或多个芯部特征的竖直定向表面移除的平均厚度量。举例来说,参照图1A-1E,目标修整量是需要移除以从输入关键尺寸CD1达到缩减关键尺寸CD2的芯部材料的水平厚度。该方法还包含操作505,其用于接收目标修整轮廓作为输入参数。目标修整轮廓指示,当执行晶片上的目标修整量时,从一或多个芯部特征的竖直定向表面的厚度移除的变化的多少被应用为晶片的中心与晶片的周边边缘之间的在晶片上的径向位置的函数。在操作503中所接收的目标修整量可根据单个的晶片或根据晶片批次接收。类似地,在操作505中所接收的目标修整轮廓可根据单个的晶片或根据晶片批次接收。
该方法还包含操作507,其用于访问第一组数据,该第一组数据将该修整量与一或多个等离子体修整工艺参数相关联。该方法还包含操作509,其用于访问第二组数据,其将该修整轮廓与一或多个等离子体修整工艺参数相关联。在操作507所访问的第一组数据以及在操作509所访问的第二组数据可通过执行实验设计(DOE)得出。举例来说,在修整速率与各种等离子体蚀刻工艺参数之间的相关性可针对给定等离子体处理站从DOE得出。并且,在修整轮廓与各种等离子体蚀刻工艺参数之间的相关性可针对给定等离子体处理站从DOE得出。
该方法还包含操作511,操作511用于确定成组的等离子体修整工艺参数,其用于在晶片上实现该目标修整量及目标修整轮廓,其中该成组的等离子体修整工艺参数是基于该目标修整量、该目标修整轮廓、来自操作507的第一组数据以及来自操作509的第二组数据。在一些实施方案中,计算机程序被用于确定/计算等离子体蚀刻工艺配方参数,以基于针对给定等离子体处理站的对应的第一组数据和第二组数据而在该给定的等离子体处理站中针对给定晶片实现该目标修整量及该目标修整轮廓。更具体而言,使用针对给定等离子体处理站修整速率相对工艺参数数据以及修整轮廓相对工艺参数数据,计算机程序确定/计算针对在给定等离子体处理站中给定晶片实现目标修整量以及目标修整轮廓所需的等离子体蚀刻工艺配方参数。
在多种实施方案中,在操作511中所确定的该成组的等离子体修整工艺参数可实质上包含任何一或多个等离子体蚀刻工艺配方参数,例如一或多个工艺气体类型及对应的流率、压力、温度、射频(RF)功率、RF频率、偏置电压等等。在一些实施方案中,该成组的等离子体修整工艺参数可指定工艺气体成分,其包含以下一或多者:氧(O2)、一氧化二氮(N2O)、一氧化碳(CO)、二氧化碳(CO2)、臭氧(O3)、醇类(包含但不限定于,甲醇(CH3OH)、乙醇(C2H5OH)、丙醇(CH3CH2CH3OH)、异丙醇(C3H8O)、及其他醇类)、及其混合物。并且,在一些实施方案中,该成组的等离子体修整工艺参数可包含活化源,例如电容耦合等离子体(CCP)源、电感耦合等离子体(ICP)源、微波源、可见紫外光(VuV)源、臭氧源、热灯丝源、或另一类型的活化源的规格。在一些实施方案中,在操作511中所确定的该成组的等离子体修整工艺参数包含温度设置,其在从约-25℃至约100℃的范围内、或在从约0℃至约75℃的范围内。该方法还包含操作513,其用于使用在操作511中所确定/计算的该成组的等离子体修整工艺参数而在晶片上执行等离子体修整工艺,以建立芯部材料的经修改的图案,其包含具有与目标修整量及目标修整轮廓对应的在水平方向上测得的经调整的关键尺寸(例如CD2)的一或多个芯部特征。
在一些实施方案中,该方法包含用于以下项的操作:测量在晶片上一或多个芯部特征的关键尺寸的数值,并基于该一或多个芯部特征的关键尺寸的测得值确定目标修整量及目标修整轮廓。在一些实施方案中,该目标修整量及目标修整轮廓被确定,以对晶片上的一或多个芯部特征的关键尺寸上的WTW变化进行补偿。在一些实施方案中,目标修整量及目标修整轮廓被确定,以补偿等离子体处理站性能。在一些实施方案中,目标修整量及目标修整轮廓被确定,以将整个晶片上一或多个芯部特征的关键尺寸的非均匀性缩减。
在一些实施方案中,晶片包含芯部材料图案,其在目标材料层上形成,而器件结构在该目标材料层中形成。举例来说,晶片可针对SADP工艺制备。在这些实施方案中,在于晶片上执行等离子体修整工艺之后,将掩模材料层保形地沉积在经修改的芯部材料图案上。接着,将该掩模材料层进行蚀刻以在芯部材料上形成该掩模材料的侧间隔物结构并暴露芯部材料的顶部表面。接着,移除芯部材料,以在该目标材料层上方留下掩模材料的侧间隔物结构。接着,蚀刻该目标材料层,以在目标材料内在掩模材料的侧间隔物结构下方形成器件结构。接着,掩模材料的侧间隔物结构是从晶片移除的。
在一些实施方案中,该一或多个芯部特征的经调整的关键尺寸设定在目标材料内介于相邻器件结构之间的第一水平间距。并且,该一或多个芯部特征的经调整的关键尺寸与该掩模材料的侧间隔物结构中的一者的水平厚度的组合在目标材料内设定相邻器件结构之间的第二水平间距。第一水平间距和第二水平间距以交替方式在目标材料内的相邻器件结构之间发生。在一些实施方案中,设定在操作503中所接收的目标修整量以及在操作505中所接收的目标修整轮廓,以实现整个晶片上在第一水平间距与第二水平间距之间的实质相等。在一些实施方案中,设定在操作503所接收的目标修整量与在操作505所接收的目标修整轮廓,以在目标材料内部分地控制介于器件结构之间的水平间距。
在一些实施方案中,芯部特征103由第一芯部材料层形成,该第一芯部材料层形成于第二芯部材料层上,而该第二芯部材料层形成于第三芯部材料层上,且该第三芯部材料层形成于目标材料层上,器件结构在该目标材料层中形成。举例来说,该晶片可针对SAQP工艺制备。在这些实施方案中,在于操作513中在芯部特征103上执行等离子体修整工艺之后,蚀刻该第二芯部材料层,以在芯部特征103的经调整的图案下方形成第二芯部材料的芯部结构。接着,移除芯部特征103。在移除芯部特征103之后,将第一掩模材料层保形沉积于第二芯部材料的芯部结构上。接着,蚀刻第一掩模材料,以在第二芯部材料的芯部结构上形成第一掩模材料的侧间隔物并使第二芯部材料的芯部结构的顶部表面暴露。接着,移除第二芯部材料的芯部结构。在移除第二芯部材料的芯部结构之后,蚀刻该第三芯部材料层,以在第一掩模材料的侧间隔物下方形成第三芯部材料的芯部结构。接着,将第一掩模材料的侧间隔物移除。在第一掩模材料的侧间隔物移除之后,将第二掩模材料层保形沉积在第三芯部材料的芯部结构上。接着,蚀刻第二掩模材料,以在第三芯部材料的芯部结构上形成第二掩模材料的侧间隔物,并使第三芯部材料的芯部结构的顶部表面暴露。接着,移除第三芯部材料的芯部结构。在移除第三芯部材料的芯部结构之后,蚀刻该目标材料层,以在第二掩模材料的侧间隔物下方于目标材料内形成器件结构。接着,移除第二掩模材料的侧间隔物。
在一些实施方案中,用于确定待使用的该成组的等离子体修整工艺参数来在晶片上实现目标修整量及目标修整轮廓的操作511包含:确定/计算将用于基础修整工艺的第一组等离子体修整工艺参数;以及确定/计算将用于快速(flash)修整工艺的第二组等离子体修整工艺参数。在一些实施方案中,用于快速修整工艺的第二组等离子体修整工艺参数或其值不同于用于基础修整工艺的第一组等离子体修整工艺参数。举例来说,在一些实施方案中,用于快速修整工艺的第二组等离子体修整工艺参数可指定工艺气体成分,其不同于用于基础修整工艺的该第一组等离子体修整工艺参数。可定义用于快速修整工艺的第二组等离子体修整工艺参数与用于基础修整工艺的第一组等离子体修整工艺参数之间的差异,以便与基础修整工艺相比对在快速修整工艺所实现的蚀刻量提供更精确的控制。举例来说,用于快速修整工艺的第二组等离子体修整工艺参数可指定工艺气体成分,其与基础修整工艺相比对在快速修整工艺中所实现的蚀刻量提供更精确的控制。
此外,在晶片上执行等离子体修整工艺的操作513包含:使用第一组等离子体修整工艺参数在晶片上执行基础修整工艺,接着使用第二组等离子体修整工艺参数在晶片上执行快速修整工艺。在一些实施方案中,基础修整工艺以相同方式在不同等离子体处理站中的不同的晶片上执行,而快速修整工艺对于在不同等离子体处理站的不同晶片是不同的。在一些实施方案中,基础修整工艺在不同的等离子体处理站中不同的晶片上同时执行,而快速修整工艺在不同的等离子体处理站中于不同的晶片上顺序地执行。
在一些实施方案中,在给定的多站式处理工具(例如300)的多个等离子体处理站(例如,340A、340B、340C、340D)中分别将多个晶片同时处理。在一些实施方案中,基础修整工艺在多个等离子体处理站中于多个晶片上同时执行。接着,针对各晶片的快速修整工艺在其各自的等离子体处理站分别执行。在一些实施方案中,用于多个晶片的快速修整工艺以顺序方式执行,使得在将用于特定晶片的快速修整工艺在其对应等离子体处理站中执行并完成之后,再将用于另一晶片的快速修整工艺在其对应等离子体处理站中执行。
在一些实施方案中,用于控制芯部关键尺寸的图5的方法可包含在将操作513的等离子体修整工艺与沉积工艺结合的整体方法内。举例来说,在一些实施方案中,将操作513的等离子体修整工艺与沉积工艺结合的整体方法可包含等离子体修整工艺与沉积工艺的交替执行。以此方式,不同的晶片上轮廓可以叠加地耦合,以产生优选的补偿均匀性轮廓。举例来说,操作513的碟状轮廓等离子体修整工艺可以与圆顶状沉积轮廓结合,以产生差异化与优选的净轮廓。并且,在一些实施方案中,在整体方法的执行期间,在操作513的等离子体修整工艺与沉积工艺之间的循环可以进行二次或更多次,举例来说,首先进行等离子体修整工艺,接着进行沉积工艺,接着进行等离子体修整工艺,接着进行沉积工艺,依此类推。
根据一些实施方案,图6显示了一方法的流程图,该方法用于控制芯部关键尺寸。该方法包含操作601,操作601用于获取多个晶片,其中芯部材料的图案形成在该多个晶片中的每一个上。芯部材料的图案包含具有在水平方向上测得的关键尺寸的芯部特征,其中该水平方向实质平行于给定晶片的底部表面。该方法还包含操作603,操作603用于接收针对该多个晶片中的每一个的作为输入参数的目标修整量。该多个晶片中的每一个具有各自的目标修整量。针对特定晶片的目标修整量指示待从该特定晶片的芯部特征的竖直定向表面移除的平均厚度量。该方法还包含操作605,操作605用于针对该多个晶片中的每一个接收作为输入参数的目标修整轮廓。该多个晶片中的每一个具有各自的目标修整轮廓。针对该特定晶片的目标修整轮廓指示,当在该特定晶片上执行目标修整量时,从芯部特征的竖直定向表面的厚度移除的变化的多少被应用作为该特定晶片的中心与该特定晶片的周边边缘之间的在该特定晶片上的径向位置的函数。
该方法还包含操作607,其中,针对该多个晶片中的每一个,访问第一组数据,该第一组数据将针对该特定晶片的修整量与一或多个等离子体修整工艺参数相关联。该第一组数据可应用于特定等离子体处理站,该特定晶片将在该特定等离子体处理站中进行处理。该方法还包含操作609,其中,针对该多个晶片中的每一个,访问第二组数据,其将针对该特定晶片的修整轮廓与一或多个等离子体修整工艺参数相关联。该第二组数据可应用于该特定等离子体处理站,该特定晶片将在该特定等离子体处理站中进行处理。
该方法还包含操作611,操作611用于确定基础组的等离子体修整工艺参数,其用于在该多个晶片中的每一个上的基础修整工艺,其中针对该多个晶片中的每一个,该基础修整工艺是相同的。在操作611中该基础组的等离子体修整工艺参数的确定是基于针对该多个晶片中的每一个的目标修整量、针对该多个晶片中的每一个的目标修整轮廓、针对等离子体处理站的该第一组数据以及针对等离子体处理站的该第二组数据。在一些实施方案中,定义基础修整工艺系以处理WTW CDNU。在多种实施方案中,在操作611中所确定的该基础组的等离子体修整工艺参数可实质上包含任何一或多个等离子体蚀刻配方参数,例如关于图5方法中的操作511先前所提及的参数。该方法还包含操作613,操作613用于针对该多个晶片中的每一个确定各自补充组的等离子体修整工艺参数,其用于在多个晶片中的每一个上进行的各自的快速修整工艺,以实现针对特定晶片的目标修整量以及针对特定晶片的目标修整轮廓,其中该快速修整工艺针对该多个晶片中的每一个定制。在操作613中该各自补充组的等离子体修整工艺参数的确定是基于针对该多个晶片中的每一个的目标修整量、针对该多个晶片中的每一个的目标修整轮廓、针对等离子体处理站的第一组数据、针对该等离子体处理站的该第二组数据以及该基础组的等离子体修整工艺参数。在一些实施方案中,定义快速修整工艺以处理整个晶片上的WTW径向CD变化。在多种实施方案中,在操作613中确定的补充组的等离子体修整工艺参数可实质包含一或多个任何等离子体蚀刻工艺配方参数,例如就图5方法中的操作511先前所提及的参数。
在一些实施方案中,在操作613中所确定的补充组的等离子体修整工艺参数、或其值可与在操作611中所确定的基础组的等离子体修整工艺参数不同。举例来说,在一些实施方案中,用于快速修整工艺的补充组的等离子体修整工艺参数可指定与针对基础修整工艺的基础组的等离子体修整工艺参数中所指定的工艺气体成分相比不同的工艺气体成分。可以定义针对快速修整工艺的补充组的等离子体修整工艺参数与针对基础修整工艺的基础组等离子体修整工艺参数之间的差异,以与基础修整工艺相比对在快速修整工艺中所实现的蚀刻量提供更精确的控制。举例来说,针对快速修整工艺的补充组的等离子体修整工艺参数可指定工艺气体成分,其与基础修整工艺相比对在快速修整工艺中所实现的蚀刻量提供更精确的控制。
该方法还包含操作615,操作615用于在该特定等离子体处理站中对该多个晶片中的每一个执行该基础修整工艺,将在该特定等离子体处理站中处理该特定晶片。该方法还包含操作617,其中,在基础修整工艺完成之后,在该特定等离子体处理站中对该多个晶片中的每一个执行各自的快速修整工艺,将在该特定等离子体处理站中处理该特定晶片。在一些实施方案中,基础修整工艺以同时的方式在该多个晶片中的每一个上执行,而快速修整工艺以顺序方式在该多个晶片中的不同晶片上执行。
在一些实施方案中,用于控制芯部关键尺寸的图6的方法可包含在将操作615的基础修整工艺以及操作617的快速修整工艺与沉积工艺组合的整体方法内。举例来说,在一些实施方案中,将操作615的基础修整工艺以及操作617的快速修整工艺与沉积工艺组合的该整体方法可包含:该基础修整工艺以及该快速修整工艺的组合的执行与沉积工艺的执行交替进行。以该方式,不同的晶片上的轮廓可叠加地耦合,以产生优选的补偿均匀轮廓。举例来说,提供碟状轮廓的基础修整工艺与快速修整工艺的组合可与圆顶状沉积轮廓组合,以产生差异化与优选的净轮廓。并且,在一些实施方案中,在基础修整工艺与快速修整工艺的组合与沉积工艺之间的循环操作可在整体方法执行期间进行两次或更多次,例如,先进行基础/快速修整工艺,接着进行沉积工艺,接着进行基础/快速修整工艺,接着进行沉积工艺,依此类推。
根据一些实施方案,图7显示了一方法,其用于控制在多个晶片上的芯部关键尺寸。该方法包含操作701,操作701用于获取多个晶片,其中芯部材料的图案形成在该多个晶片中的每一个上。芯部材料的图案包含具有在水平方向上测得的关键尺寸的芯部特征,其中该水平方向实质平行于给定晶片的底部表面。该多个晶片中的每一个存在于多个等离子体处理站中的单独的一个中。该方法还包含操作703,操作703用于在多个等离子体处理站中以同时的方式在该多个晶片中的每一个上执行基础修整工艺。定义该基础修整工艺,以将在多个晶片上的芯部特征的关键尺寸缩减。该基础修整工艺在该多个等离子体处理站中的每一个中以相同方式执行。该方法还包含操作705,其中,在基础修整工艺完成之后,晶片特定的快速修整工艺在多个等离子体处理站中在该多个晶片中的每一个上执行。定义该晶片特定的快速修整工艺以使多个晶片上的芯部特征的关键尺寸进一步缩减。晶片特定的快速修整工艺在该多个等离子体处理站中的每一个中以单独限定的方式执行。定义对于该多个晶片的给定晶片的晶片特定快速修整工艺,使得针对该给定晶片的基础修整工艺与晶片特定的快速修整工艺的组合实现在该给定晶片上的晶片特定目标修整量并且实现在该给定晶片上的晶片特定目标修整轮廓。在一些实施方案中,该晶片特定快速修整工艺在多个等离子体处理站中在该多个晶片上以顺序方式执行,使得该多个等离子体处理站中的一个以给定时间运作,以执行该晶片特定快速修整工艺。
在一些实施方案中,该晶片特定目标修整量与该晶片特定目标修整轮廓被接收作为针对该多个晶片中的每一个的输入参数。并且,作为一或多个等离子体工艺参数的函数的特定于站的修整速率性能被接收作为针对该多个等离子体处理站中的每一个的输入参数。并且,作为一或多个等离子体工艺参数的函数的特定于站的修整轮廓性能被接收作为针对该多个等离子体处理站中的每一个的输入参数。并且,该方法还包含:使用该晶片特定目标修整量、该晶片特定目标修整轮廓、该特定于站的修整速率性能以及该特定于站的修整轮廓性能,在各自的多个等离子体处理站中针对该多个晶片中的每一个确定该基础修整工艺与该晶片特定快速修整工艺的规格。在多种实施方案中,针对该多个晶片中的每一个,该基础修整工艺与该晶片特定快速修整工艺的规格可实质包含一或多个任何等离子体蚀刻工艺配方参数,例如就图5的方法中的操作511先前所提及的参数。
为了进一步说明图6和7的方法,考虑一示例,其中将在四站式工具中处理四个晶片,该四站式工具包含四个等离子体处理站。针对四个晶片的目标修整量为针对晶片1的78埃、针对晶片2的79埃、针对晶片3的76埃以及针对晶片4的77埃。并且,针对四个晶片的目标修整轮廓根据P2%指定。P2%定义为介于针对晶片的中心区域的平均关键尺寸值与针对晶片的外径向区域的平均关键尺寸值之间的差。将针对四个晶片的目标修整量与目标修整轮廓提供至计算机程序,以针对该四个晶片中的每一个确定基础修整工艺的规格以及快速修整工艺的规格。该计算机程序还可访问第一组数据,其提供作为一或多个等离子体工艺参数的函数的特定于站的修整速率性能,例如针对各等离子体处理站的作为一或多个工艺参数的函数的蚀刻速率。并且,该计算机程序可访问第二组数据,其提供作为一或多个等离子体工艺参数的函数的特定于站的修整轮廓性能,例如,针对各等离子体处理站的作为一或多个等离子体工艺参数的函数的P2%。在该第一和第二组数据中,该一或多个等离子体处理参数可实质包含任何等离子体蚀刻工艺配方参数,尤其例如一或多个工艺气体类型和对应的流率、压力、温度、射频(RF)功率、RF频率、偏置电压等等。基于针对该四个晶片的目标修整量与目标修整轮廓以及该第一和第二组数据,该计算机程序确定在所有四个晶片上以等效方式执行的基础修整工艺规格。举例来说,可加以设定该基础修整工艺规格,以在所有四个晶片上在共同修整轮廓(P2%)的情况下修整74埃。并且,基于针对四个晶片的目标修整量与目标修整轮廓以及该第一和第二组数据,该计算机程序确定在该四个晶片中的每一个上待执行的快速修整工艺的规格。举例来说,针对晶片1的快速修整工艺被定义成修整额外的4埃。针对晶片2的快速修整工艺被定义成修整额外的5埃。针对晶片3的快速修整工艺被定义成修整额外的2埃。针对晶片4的快速修整工艺被定义成修整额外的3埃。针对各晶片在快速修整工艺中所使用的修整轮廓(P2%)可定制以针对各晶片实现目标修整轮廓。
在一些实施方案中,该基础修整工艺在所有四个晶片上同时执行。接着,该快速修整工艺以顺序的方式在该四个晶片上执行,即,先在晶片1上执行,接着在晶片2上执行,接着在晶片3上执行,接着在晶片4上执行。在一些实施方案中,如果等离子体处理站可以完全独立的方式操作(例如以分离且独立可控制的功率供应源及气体供应源),则快速修整工艺还可以以同时的方式执行。
根据一些实施方案,图8显示了控制器800,用于控制芯部关键尺寸。在一些实施方案中,控制器800可在图2的探测控制与系统控制部210内和/或图4的控制器420内实现。并且,在一些实施方案中,控制器800可分离地实现并提供输出至图2的工艺输入与控制规格208。控制器800包含输入模块801、分析模块803以及执行模块805。输入模块801被构造成获得作为输入参数的目标修整量。目标修整量指示待从晶片上的芯部特征的竖直定向表面移除的平均厚度量。芯部特征在晶片上形成芯部材料的图案的部分。芯部特征具有在平行于晶片的底部表面的水平方向上测得的关键尺寸。输入模块801被构造成获得作为输入参数的目标修整轮廓。目标修整轮廓指示,当在晶片上执行目标修整量时,从芯部特征的竖直定向表面的厚度移除的变化的多少被应用作为晶片的中心与晶片的周边边缘之间的在晶片上的径向位置的函数。在一些实施方案中,输入模块801被构造成在晶片上获得芯部特征的关键尺寸的测得数值,并基于芯部特征的关键尺寸的测得数值而确定目标修整量和目标修整轮廓。输入模块801被构造成获得第一组数据,其将修整量与一或多个等离子体修整工艺参数相关联。输入模块801还被构造成获得第二组数据,其将修整轮廓与一或多个等离子体修整工艺参数相关联。
分析模块803被构造成确定成组的等离子体修整工艺参数,其用于基于对该第一组数据及该第二组数据的分析而在晶片上实现目标修整量与目标修整轮廓。执行模块805被构造成使用该成组的等离子体修整工艺参数而指导晶片上的等离子体修整工艺执行,以建立芯部材料的经修改的图案,其包含具有在水平方向上测得的经调整的关键尺寸的芯部特征。
在一些实施方案中,输入模块801被构造成获得该目标修整量及该目标修整轮廓作为针对多个晶片的输入参数,其中该多个晶片中的每一个具有单独的目标修整量及单独的目标修整轮廓。并且,输入模块中801被构造成针对多个等离子体处理站中的每一个获得该第一组数据及该第二组数据。分析模块803被构造成分析针对该多个晶片中的每一个的目标修整量、针对该多个晶片中的每一个的目标修整轮廓、针对该多个等离子体处理站中的每一个的该第一组数据以及针对该多个等离子体处理站的每一个的该第二组数据,以确定基础组的等离子体修整工艺参数,该等离子体修整工艺参数用于在该多个等离子体处理站的对应的一个中对该多个晶片中的每一个进行的基础修整工艺。基础修整工艺针对该多个晶片中的每一个是相同的。此外,分析模块803被构造成分析针对该多个晶片中的每一个的目标修整量、针对该多个晶片中的每一个的目标修整轮廓、针对该多个等离子体处理站中的每一个的该第一组数据、针对该多个等离子体处理站中的每一个的该第二组数据以及该基础组的等离子体修整工艺参数,以针对该多个晶片中的每一个确定各自的补充组的等离子体修整工艺参数,该等离子体修整工艺参数用于在该多个等离子体处理站的对应的一个中对该多个晶片中的每一个进行的各自的快速修整工艺,以实现针对特定晶片的目标修整量及针对特定晶片的目标修整轮廓。该快速修整工艺针对该多个晶片中的每一个定制。执行模块805被构造成指导基础修整工艺在该对应的等离子体处理站中在该多个晶片中的每一个上的执行。并且,执行模块805被构造成在该基础修整工艺完成之后指导该快速修整工艺在该对应的等离子体处理站中在该多个晶片中的每一个上的执行。
在多种实施方案中,控制器800可包含处理器、存储器以及一或多个界面。控制器800可用于部分基于感测的值而控制在一或多个等离子体处理站中的器件。举例来说,控制器800可基于感测的数值及其他控制参数而控制阀802、过滤加热器804、泵806、及其他组件808其中一或多者。控制器800从例如压力计810、流量计812、温度传感器814、和/或其他传感器816接收感测的值。控制器800还可用于在一或多个晶片上的蚀刻及沉积期间控制工艺条件。控制器800可包含一或多个存储器器件及一或多个计算机处理器。
控制器800可控制在给定等离子体处理站中与芯部修整工艺相关联的活动。控制器800执行计算机程序,其包含指令集以用于控制工艺时序、工艺气体输送系统温度、以及压力差、阀位置、气体混合物、工艺气体流率、室压力、室温度、衬底温度、RF功率位准、衬底卡盘或基座位置、偏置功率以及特定工艺的其他参数。在与控制器800相关联的存储器器件上储存的其他计算机程序可在一些实施方案中使用。典型地,将有与控制器800相关联的用户界面。该用户界面可包含显示器818(例如,设备和/或工艺条件的显示屏幕和/或图形化软件显示器)以及用户输入器件820,例如指向器件、键盘、触控屏幕、麦克风等等。
用于在工艺序列中控制芯部修整工艺及其他工艺的计算机程序可以任何常规的计算机可读编程语言写入:例如汇编语言、C、C++、Pascal、Fortran或其他。经编译的目标码或脚本通过处理器执行,以执行程序中所确定的工作。控制模块参数相关于工艺条件,例如过滤器压力差、工艺气体成分以及流率、温度、压力、等离子体条件(例如RF功率电平以及低频RF频率)、冷却气体压力以及室壁温度。系统软件可以不同的方式设计或配置。举例来说,各种室部件子程序或控制对象可写入,以控制执行本文所公开的芯部修整工艺所需要的室部件的操作。
在芯部修整工艺期间可监控的传感器的示例包含但不限于:质量流量控制模块、例如压力计810的压力传感器、位于输送系统中的热电偶、基座或卡盘(例如温度传感器814/220)。经适当编程的反馈与控制算法可与来自这些传感器的数据一起使用,以维持所期望的工艺条件。前述内容描述本公开内容在单一或多室半导体处理工具中的实施方案的实现。
在一些实现方案中,控制器800是系统的一部分,该系统可以是上述示例的一部分。这样的系统可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(衬底基座、气体流系统等)。这些系统可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。控制器800可以控制一个或多个系统的各种部件或子部件。根据处理要求和/或系统类型,控制器800可以被编程以控制本文公开的任何工艺,包括处理气体的输送、温度设置(例如加热和/或冷却)、压力设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、衬底传送进出工具和其他传送工具和/或与具体系统连接或通过接口连接的装载锁。
概括地说,控制器800可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用端点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式发送到控制器800的指令,单独设置(或程序文件)定义用于在半导体衬底或系统上或针对半导体晶片或系统执行特定工艺的操作参数。在一些实施方案中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的加工期间完成一个或多个处理步骤。
在一些实现方案中,控制器800可以是与系统集成、耦合到系统、以其它方式联网到系统或其组合的计算机的一部分或耦合到该计算机。例如,控制器800可以在“云”中或是晶片厂(fab)主机系统的全部或一部分,其可以允许对衬底处理的远程访问。计算机可以实现对系统的远程访问以监视加工操作的当前进展、检查过去加工操作的历史、检查多个加工操作的趋势或性能标准,改变当前处理的参数、设置处理步骤以跟随当前的处理、或者开始新的处理。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供工艺配方。
远程计算机可以包括使得能够输入或编程参数和/或设置的用户界面,然后将该参数和/或设置从远程计算机发送到系统。在一些示例中,控制器800接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的工艺的类型和工具的类型,控制器800被配置为与该工具接口或控制该工具。因此,如上所述,控制器800可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的工艺和控制)工作的一个或多个分立的控制器而呈分布式。用于这种目的的分布式控制器的示例将是在与远程(例如在平台级或作为远程计算机的一部分)定位的一个或多个集成电路通信的等离子体处理站上的一个或多个集成电路,其组合以控制在等离子体处理站上的工艺。
控制器800可以对接的示例性系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及可以与半导体晶片的加工和/或制备相关联或用于半导体晶片的加工和/或制备的任何其它半导体处理系统。
如上所述,根据将由工具执行的一个或多个处理步骤,控制器800可以与一个或多个其他工具电路或模块、其它工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体加工工厂中的工具位置和/或装载口运输的材料运输中使用的工具通信。
使用牺牲间隔层的双重图案化(DPT)工艺,例如SADP,在最新技术节点(例如,45纳米及以下)已用于加工半导体芯片。在DPT工艺中的最大挑战之一是在WiW和WTW的CDNU和CDIMB的变化性上实现严密控制。WiW和WTW的CDNU和CDIMB的主要因素之一是光刻法。芯部修整工艺被使用于光刻工艺(芯部心轴在其中形成)与侧间隔物沉积工艺之间,以进一步缩减芯部特征的关键尺寸。该芯部修整工艺的主要目标为缩减图案化芯部心轴的芯部特征的关键尺寸。然而,如本文所公开的方法和系统所显示的,芯部修整工艺还可用于补偿所输入的WiW和WTW关键尺寸差异。如本文所公开的,提供了快速修整工艺,其中能够在各等离子体处理站单独地控制芯部特征修整量、修整轮廓以及CDNU。通过首先分析针对WiW及WTW CDNU的输入关键尺寸计量来实现快速修整工艺。接着,通过横跨多个等离子体处理站的共同基础修整工艺,将晶片进行处理。在该共同基础修整工艺之后,快速修整工艺在各晶片上加以执行,以基于输入的关键尺寸计量数据而在各等离子体处理站上补偿芯部特征修整量、修整轮廓以及CDNU。
目前的双重图案化技术面临例如CDNU和CDIMB之类的问题。在此处所公开的方法和系统之前,没有可行的解决方案来减轻/缩减/消除CDNU和CDIMB。在SADP间隔物沉积方法中,CDNU和CDIMB是芯部光刻非均匀性和侧壁间隔物厚度非均匀性两者的结果。此处所公开的方法和系统提供对在SADP工艺中的芯部修整步骤的控制,以改善WTW或WiW关键尺寸变化性。具体而言,本文所公开的快速修整工艺提供弹性以改善关键尺寸的WTW变化性并且能对WTW CDNU进行控制。本文所公开的方法和系统对关键尺寸和CDNU提供晶片级控制。
在本文所公开的方法和系统之前,在SADP工艺中,关键尺寸和CDNU由以下项确定:芯部光刻非均匀性可控制得有多好,以及侧间隔物厚度可控制得有多好。本文所公开的方法和系统实现了:芯部特征修整步骤可影响芯部关键尺寸且可协助调制芯部关键尺寸及间隙关键尺寸两者。因此,通过提供在芯部修整工艺上的弹性控制,此处所公开的方法和系统对WTW关键尺寸和WTW CDNU的控制提供改进。
这些实施方案的前述说明以描述和说明为目的而提供。上述说明并非意图为全面性的或限制本公开内容。特定实施方案的个别要件或特征一般不限于该特定实施方案,但在适用的情况下,是可替换的并且可使用于选定的实施方案中,即使未具体地显示或描述也如此。其还可以许多方式变化。这样的变化不视为偏离本公开内容,且所有的这些修改意指包含在本公开内容的范围内。
虽然前述公开内容为了清楚理解的目的被相当详细地描述,但明显的是,一些改变及修改可在本文所公开的实施方案的范围内实践。因此,本公开内容被视为是说明性而非限制性的,且该公开内容非限定于本文所提供的细节,而是可在所述实施方案的范围和等同方案内修改。

Claims (22)

1.一种控制芯部关键尺寸的方法,其包含:
获取晶片,其中芯部材料的图案形成在所述晶片上,所述芯部材料的所述图案包含具有在水平方向上测得的关键尺寸的一或多个芯部特征,其中所述水平方向平行于所述晶片的底部表面;
接收目标修整量作为输入参数,所述目标修整量指示待从所述一或多个芯部特征的竖直定向表面移除的平均厚度量;
接收目标修整轮廓作为输入参数,所述目标修整轮廓指示,当执行所述晶片上的所述目标修整量时,从所述一或多个芯部特征的竖直定向表面的厚度移除的变化的多少被应用作为所述晶片的中心与所述晶片的周边边缘之间的在所述晶片上的径向位置的函数;
访问第一组数据,所述第一组数据将修整量与一或多个等离子体修整工艺参数相关联;
访问第二组数据,其将修整轮廓与一或多个等离子体修整工艺参数相关联;
基于所述目标修整量、所述目标修整轮廓、所述第一组数据和所述第二组数据,确定用于在所述晶片上实现所述目标修整量和所述目标修整轮廓的成组的等离子体修整工艺参数;以及
使用所述成组的等离子体修整工艺参数,在所述晶片上执行等离子体修整工艺,以建立所述芯部材料的经修改的图案,其包含具有在所述水平方向上测得的经调整的关键尺寸的所述一或多个芯部特征。
2.根据权利要求1所述的方法,其还包含:
测量在所述晶片上的所述一或多个芯部特征的所述关键尺寸的值;以及
基于所述一或多个芯部特征的所述关键尺寸的测量的所述值,确定所述目标修整量和所述目标修整轮廓。
3.根据权利要求2所述的方法,其中确定所述目标修整量和所述目标修整轮廓,以补偿在所述晶片上的所述一或多个芯部特征的所述关键尺寸上的晶片间变化。
4.根据权利要求2所述的方法,其中确定所述目标修整量和所述目标修整轮廓,以补偿等离子体处理站性能。
5.根据权利要求2所述的方法,其中确定所述目标修整量和所述目标修整轮廓,以缩减在整个所述晶片上的所述一或多个芯部特征的所述关键尺寸上的非均匀性。
6.根据权利要求1所述的方法,其中确定用于在所述晶片上实现所述目标修整量和所述目标修整轮廓的成组的等离子体修整工艺参数包含:确定用于基础修整工艺的第一组等离子体修整工艺参数;以及确定用于快速修整工艺的第二组等离子体修整工艺参数,且
其中在所述晶片上执行所述等离子体修整工艺包含:使用所述第一组等离子体修整工艺参数在所述晶片上执行所述基础修整工艺;接着,使用所述第二组等离子体修整工艺参数在所述晶片上执行所述快速修整工艺。
7.根据权利要求6所述的方法,其中所述基础修整工艺是在不同的等离子体处理站中于不同的晶片上以相同方式执行,且其中所述快速修整工艺针对在不同的等离子体处理站中的不同的晶片是不同的。
8.根据权利要求7所述的方法,其中所述基础修整工艺在所述不同的等离子体处理站中在所述不同的晶片上同时地执行,并且其中所述快速修整工艺在所述不同的等离子体处理站中在所述不同的晶片上顺序地执行。
9.根据权利要求1所述的方法,其中所述晶片包含在目标材料层上形成的所述芯部材料的所述图案,其中,器件结构将在所述目标材料层中形成。
10.根据权利要求9所述的方法,其还包含:
在所述晶片上执行所述等离子体修整工艺后,在所述芯部材料的经修改的所述图案上保形地沉积掩模材料层;
蚀刻所述掩模材料层,以在所述芯部材料上形成所述掩模材料的侧间隔物结构,并且暴露所述芯部材料的顶部表面;
移除所述芯部材料,并且在所述目标材料层上方留下所述掩模材料的所述侧间隔物结构;
蚀刻所述目标材料层,以在所述掩模材料的所述侧间隔物结构下方在所述目标材料内形成所述器件结构;以及
移除所述掩模材料的所述侧间隔物结构。
11.根据权利要求10所述的方法,其中所述一或多个芯部特征的所述经调整的关键尺寸设定在所述目标材料内的介于相邻器件结构之间的第一水平间距,其中所述一或多个芯部特征的所述经调整的关键尺寸与所述掩模材料的所述侧间隔物结构中的一个的水平厚度的组合设定在所述目标材料内的介于相邻器件结构之间的第二水平间距,并且其中所述第一水平间距与所述第二水平间距在所述目标材料内在相邻器件结构之间以交替方式发生。
12.根据权利要求11所述的方法,其中设定所述目标修整量与所述目标修整轮廓,以在整个所述晶片上实现在所述第一水平间距与所述第二水平间距之间的实质相等。
13.根据权利要求1所述的方法,其中所述芯部材料的所述图案由第一芯部材料层形成,其中所述晶片包含在第二芯部材料层上形成的所述芯部材料的所述图案,其中所述第二芯部材料层是在第三芯部材料层上形成,其中所述第三芯部材料层是在目标材料层上形成,器件结构将在所述目标材料层中形成。
14.根据权利要求13所述的方法,其还包含:
在所述晶片上执行所述等离子体修整工艺后,蚀刻所述第二芯部材料层,以在从所述第一芯部材料层所形成的所述芯部材料的经调整的所述图案下方形成所述第二芯部材料的芯部结构;
移除所述第一芯部材料;
在所述第二芯部材料的所述芯部结构上,保形地沉积第一掩模材料层;
蚀刻所述第一掩模材料,以在所述第二芯部材料的所述芯部结构上形成所述第一掩模材料的侧间隔物,并且暴露所述第二芯部材料的所述芯部结构的顶部表面;
移除所述第二芯部材料的所述芯部结构;
蚀刻所述第三芯部材料层,以在所述第一掩模材料的所述侧间隔物下方形成所述第三芯部材料的芯部结构;
移除所述第一掩模材料的所述侧间隔物;
在所述第三芯部材料的所述芯部结构上保形地沉积第二掩模材料层;
蚀刻所述第二掩模材料,以在所述第三芯部材料的所述芯部结构上形成所述第二掩模材料的侧间隔物,并且暴露所述第三芯部材料的所述芯部结构的顶部表面;
移除所述第三芯部材料的所述芯部结构;
蚀刻所述目标材料层,以在所述第二掩模材料的所述侧间隔物下方在所述目标材料内形成所述器件结构;以及
移除所述第二掩模材料的所述侧间隔物。
15.根据权利要求11所述的方法,其中设定所述目标修整量与所述目标修整轮廓,以部分地控制在所述目标材料内的介于元件结构之间的水平间距。
16.一种用于控制芯部关键尺寸的控制器,其包含:
输入模块,其被构造成获得目标修整量作为输入参数,所述目标修整量指示待从晶片上的芯部特征的竖直定向表面移除的平均厚度量,所述芯部特征在所述晶片上形成芯部材料的图案的部分,所述芯部特征具有在平行于所述晶片的底部表面的水平方向上测得的关键尺寸,
所述输入模块被构造成获得目标修整轮廓作为输入参数,所述目标修整轮廓指示,当执行所述晶片上的所述目标修整量时,从所述芯部特征的竖直定向表面的厚度移除的变化的多少被应用作为所述晶片的中心与所述晶片的周边边缘之间的在所述晶片上的径向位置的函数;
所述输入模块被构造成获得第一组数据,其将修整量与一或多个等离子体修整工艺参数相关联,
所述输入模块被构造成获得第二组数据,其将修整轮廓与一或多个等离子体修整工艺参数相关联;
分析模块,其被构造成基于所述第一组数据和所述第二组数据的分析用于在所述晶片上实现所述目标修整量和所述目标修整轮廓的成组的等离子体修整工艺参数;以及
执行模块,其被构造成使用所述成组的等离子体修整工艺参数,指导在所述晶片上执行等离子体修整工艺,以建立所述芯部材料的经修改的图案,其包含具有在所述水平方向上测得的经调整的关键尺寸的所述芯部特征。
17.根据权利要求16所述的控制器,其中所述输入模块被构造成获得在所述晶片上的所述芯部特征的所述关键尺寸的测量值,且其中所述输入模块被构造成基于所述芯部特征的所述关键尺寸的所述测量值来确定所述目标修整量和所述目标修整轮廓。
18.根据权利要求16所述的控制器,其中所述输入模块被构造成获得所述目标修整量与所述目标修整轮廓作为针对多个晶片的输入参数,其中所述多个晶片中的每一个具有单独的目标修整量与单独的目标修整轮廓,
其中所述输入模块被构造成针对多个等离子体处理站中的每一个获得所述第一组数据和所述第二组数据,
其中所述分析模块被构造成分析针对所述多个晶片中的每一个的所述目标修整量、针对所述多个晶片中的每一个的所述目标修整轮廓、针对所述多个等离子体处理站中的每一个的所述第一组数据以及针对所述多个等离子体处理站中的每一个的所述第二组数据,以确定基础组的等离子体修整工艺参数,该基础组的等离子体修整工艺参数用于在所述多个等离子体处理站中的对应的一个中的在所述多个晶片中的每一个上的基础修整工艺,其中所述基础修整工艺针对所述多个晶片中的每一个是相同的,
其中所述分析模块被构造成分析针对所述多个晶片中的每一个的所述目标修整量、针对所述多个晶片中的每一个的所述目标修整轮廓、针对所述多个等离子体处理站中的每一个的所述第一组数据、针对所述多个等离子体处理站中的每一个的所述第二组数据、以及所述基础组的等离子体修整工艺参数,以针对所述多个晶片中的每一个确定各自的补充组的等离子体修整工艺参数,所述各自的补充组的等离子体修整工艺参数用于在所述多个等离子体处理站中的所述对应的一个中的在所述多个晶片中的每一个上的各自的快速修整工艺,以实现针对所述特定晶片的所述目标修整量以及针对所述特定晶片的所述目标修整轮廓,其中所述快速修整工艺针对所述多个晶片中的每一个定制,
其中,所述执行模块被构造成指导在对应的等离子体处理站中的在所述多个晶片中的每一个上的所述基础修整工艺的执行,且
其中,所述执行模块被构造成在所述基础修整工艺完成之后在对应的等离子体处理站中在所述多个晶片中的每一个上指导所述快速修整工艺的执行。
19.一种用于在多个晶片上控制芯部关键尺寸的方法,其包含:
获取多个晶片,其中芯部材料的图案形成在所述多个晶片中的每一个上,所述芯部材料的所述图案包含具有在水平方向上测得的关键尺寸的芯部特征,其中所述水平方向实质平行于给定晶片的底部表面,所述多个晶片中的每一个存在于多个等离子体处理站中的单独的一个中;
在所述多个等离子体处理站中以同时的方式在所述多个晶片中的每一个上执行基础修整工艺,所述基础修整工艺被定义成在所述多个晶片上缩减所述芯部特征的所述关键尺寸,所述基础修整工艺在所述多个等离子体处理站中的每一个中以相同的方式执行;以及
在所述基础修整工艺完成之后,在所述多个等离子体处理站中在所述多个晶片中的每一个上执行晶片特定的快速修整工艺,所述晶片特定的快速修整工艺被定义成进一步缩减在所述多个晶片上的所述芯部特征的所述关键尺寸,所述晶片特定的快速修整工艺在所述多个等离子体处理站中的每一个中以单独定义的方式执行,其中针对所述多个晶片的给定晶片的所述晶片特定的快速修整工艺被定义成,使得针对所述给定晶片的所述基础修整工艺与所述晶片特定的快速修整工艺的组合实现在所述给定晶片上的晶片特定的目标修整量且实现在所述给定晶片上的晶片特定的目标修整轮廓。
20.根据权利要求19所述的方法,其中所述晶片特定的快速修整工艺在所述多个等离子体处理站中在所述多个晶片上以顺序的方式执行,使得所述多个等离子体处理站中的一个是在给定时间运作,以执行所述晶片特定的快速修整工艺。
21.根据权利要求19所述的方法,其中所述晶片特定的目标修整量与所述晶片特定的目标修整轮廓被接收作为针对所述多个晶片中的每一个的输入参数,
其中,作为一或多个等离子体工艺参数的函数的特定于站的修整速率性能被接收作为针对所述多个等离子体处理站中的每一个的输入参数,
其中,作为一或多个等离子体工艺参数的函数的特定于站的修整轮廓性能被接收作为针对所述多个等离子体处理站中的每一个的输入参数,
其中所述方法还包含,使用所述晶片特定的目标修整量、所述晶片特定的目标修整轮廓、所述特定于站的修整速率性能以及所述特定于站的修整轮廓性能,针对所述多个晶片中的每一个在各自的所述多个等离子体处理站确定所述基础修整工艺与所述晶片特定的快速修整工艺的规格。
22.一种控制芯部关键尺寸的方法,其包含:
获取晶片,其中芯部材料的图案形成在所述晶片上,所述芯部材料的所述图案包含具有在水平方向上测得的关键尺寸的一或多个芯部特征,其中所述水平方向平行于所述晶片的底部表面;
接收目标修整量作为输入参数,所述目标修整量指示待从所述一或多个芯部特征的竖直定向表面移除的平均厚度量;
访问成组的数据,其将修整量与一或多个等离子体修整工艺参数相关联;
基于所述目标修整量和所述成组的数据,确定用于在所述晶片上实现所述目标修整量的成组的等离子体修整工艺参数;以及
使用所述成组的等离子体修整工艺参数,在所述晶片上执行等离子体修整工艺,以建立所述芯部材料的经修改的图案,其包含具有在所述水平方向上测得的经调整的关键尺寸的所述一或多个芯部特征。
CN201980049661.4A 2018-07-24 2019-07-17 使用快速修整序列控制芯部关键尺寸变化的方法 Pending CN112470259A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/044,444 US10727143B2 (en) 2018-07-24 2018-07-24 Method for controlling core critical dimension variation using flash trim sequence
US16/044,444 2018-07-24
PCT/US2019/042286 WO2020023270A1 (en) 2018-07-24 2019-07-17 Method for controlling core critical dimension variation using flash trim sequence

Publications (1)

Publication Number Publication Date
CN112470259A true CN112470259A (zh) 2021-03-09

Family

ID=69178656

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980049661.4A Pending CN112470259A (zh) 2018-07-24 2019-07-17 使用快速修整序列控制芯部关键尺寸变化的方法

Country Status (5)

Country Link
US (2) US10727143B2 (zh)
KR (1) KR20210024677A (zh)
CN (1) CN112470259A (zh)
TW (2) TWI822819B (zh)
WO (1) WO2020023270A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10727057B2 (en) * 2018-03-20 2020-07-28 Tokyo Electron Limited Platform and method of operating for integrated end-to-end self-aligned multi-patterning process

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6625497B2 (en) 2000-11-20 2003-09-23 Applied Materials Inc. Semiconductor processing module with integrated feedback/feed forward metrology
US6858361B2 (en) * 2002-03-01 2005-02-22 David S. L. Mui Methodology for repeatable post etch CD in a production tool
US6794302B1 (en) 2003-03-20 2004-09-21 Taiwan Semiconductor Manufacturing Co., Ltd Dynamic feed forward temperature control to achieve CD etching uniformity
US9006911B2 (en) * 2012-05-16 2015-04-14 Nanya Technology Corporation Method for forming patterns of dense conductor lines and their contact pads, and memory array having dense conductor lines and contact pads
JP2014072226A (ja) 2012-09-27 2014-04-21 Tokyo Electron Ltd パターン形成方法
US9378954B2 (en) 2013-03-15 2016-06-28 Wafertech, Llc Plasma pre-treatment for improved uniformity in semiconductor manufacturing
US10073342B2 (en) * 2016-03-04 2018-09-11 Micron Technology, Inc. Method of forming patterns

Also Published As

Publication number Publication date
US20200035572A1 (en) 2020-01-30
TW202405938A (zh) 2024-02-01
KR20210024677A (ko) 2021-03-05
US10727143B2 (en) 2020-07-28
US11322416B2 (en) 2022-05-03
TW202022942A (zh) 2020-06-16
US20200350219A1 (en) 2020-11-05
WO2020023270A1 (en) 2020-01-30
TWI822819B (zh) 2023-11-21

Similar Documents

Publication Publication Date Title
US11424103B2 (en) Control of on-wafer cd uniformity with movable edge ring and gas injection adjustment
US10388485B2 (en) Inter-electrode gap variation methods for compensating deposition non-uniformity
US11605546B2 (en) Moveable edge coupling ring for edge process control during semiconductor wafer processing
CN113491003A (zh) 利用边缘环升降的动态鞘控制
US20230170195A1 (en) Automated feedforward and feedback sequence for patterning cd control
WO2020028492A1 (en) Compensating chamber and process effects to improve critical dimension variation for trim process
US11322416B2 (en) Controller for controlling core critical dimension variation using flash trim sequence
TWI804641B (zh) 改善雙重圖案化製程的方位角臨界尺寸不均勻性
US20220305601A1 (en) Use of vacuum during transfer of substrates
US20210265144A1 (en) Temperature-tuned substrate support for substrate processing systems
US20220243323A1 (en) Use of rotation to correct for azimuthal non-uniformities in semiconductor substrate processing
US20230369025A1 (en) High precision edge ring centering for substrate processing systems

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination