CN112100966A - 借助于可编程电路合成来制造集成电路的方法及系统 - Google Patents

借助于可编程电路合成来制造集成电路的方法及系统 Download PDF

Info

Publication number
CN112100966A
CN112100966A CN202010331620.9A CN202010331620A CN112100966A CN 112100966 A CN112100966 A CN 112100966A CN 202010331620 A CN202010331620 A CN 202010331620A CN 112100966 A CN112100966 A CN 112100966A
Authority
CN
China
Prior art keywords
circuit
cell
layout
unit cell
design
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202010331620.9A
Other languages
English (en)
Inventor
庄永旭
周文昇
黄介仁
杨宇滔
彭永州
陈韵如
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN112100966A publication Critical patent/CN112100966A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/327Logic synthesis; Behaviour synthesis, e.g. mapping logic, HDL to netlist, high-level language to RTL or netlist
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • G06F30/367Design verification, e.g. using simulation, simulation program with integrated circuit emphasis [SPICE], direct methods or relaxation methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/394Routing
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2115/00Details relating to the type of the circuit
    • G06F2115/06Structured ASICs
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3308Design verification, e.g. functional simulation or model checking using simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/337Design optimisation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/36Circuit design at the analogue level
    • G06F30/373Design optimisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Architecture (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

本发明实施例涉及借助于可编程电路合成来制造集成电路的方法及系统。本发明实施例提供一种制造半导体结构的方法。所述方法包含:根据预定准则将参数值集指派给所述集成电路的单位单元的单位单元示意图中的所述单位单元的尺寸参数集,其中以所述参数值集为特征的所述单位单元具有满足所述预定准则的电路特性;根据所述单位单元示意图生成所述单位单元的单位单元布局;生成包括所述单位单元布局的多个复制物的电路布局,所述单位单元布局的所述复制物分别与所述集成电路的电路平面规划中的电路块对应地布置;及根据所述电路布局制造所述集成电路。

Description

借助于可编程电路合成来制造集成电路的方法及系统
技术领域
本发明实施例涉及借助于可编程电路合成来制造集成电路的方法及系统。
背景技术
本发明实施例涉及电路设计,且更特定来说涉及一种借助于可编程电路合成来制造集成电路的方法及一种制造集成电路的系统。
集成电路(IC)(也被称为微电子电路、微芯片或芯片)是集成到半导体材料的薄衬底中的电子组件的组合件。电子组件可包含小型化有源电路元件及无源电路装置。集成电路可根据集成电路中使用的信号的类型分为模拟集成电路、数字集成电路及模拟/混合信号(AMS)集成电路。AMS集成电路是单个芯片上的模拟电路及数字电路的组合。AMS集成电路广泛用于例如物联网(IoT)、蜂窝电话系统或其它高速电子系统的应用。
发明内容
本发明的实施例涉及一种制造集成电路的方法,其包括:接收所述集成电路的单位单元的单元示意图;根据预定准则确定所述单元示意图中的所述单位单元的尺寸参数集的参数值集,其中以所述参数值集为特征的所述单位单元具有满足所述预定准则的电路特性;根据指示所述尺寸参数集的所述参数值集的所述单元示意图生成所述单位单元的单元布局;及根据所述单位单元的所述单元布局制造所述集成电路。
本发明的实施例涉及一种制造集成电路的方法,其包括:根据预定准则将参数值集指派给所述集成电路的单位单元的单位单元示意图中的所述单位单元的尺寸参数集,其中以所述参数值集为特征的所述单位单元具有满足所述预定准则的电路特性;根据所述单位单元示意图生成所述单位单元的单位单元布局;生成包括所述单位单元布局的多个复制物的电路布局,所述单位单元布局的所述复制物分别与所述集成电路的电路平面规划中的电路块对应地布置;及根据所述电路布局制造所述集成电路。
本发明的实施例涉及一种制造集成电路的系统,其包括:控制电路;存储装置,其经耦合到所述控制电路,所述存储装置经配置以存储电路设计数据库及程序代码,其中所述电路设计数据库存储对应于所述集成电路的单位单元的多个电路特性,且所述单位单元的尺寸参数集的多个候选参数值集分别用来特性化所述单位单元以获得所述电路特性;当由所述控制电路执行时,所述程序代码致使所述控制电路:根据所述电路设计数据库形成所述单位单元的单元示意图,其中所述单元示意图中的所述单位单元的所述尺寸参数集具有所述候选参数值集中的一个参数值,且以所述候选参数值集中的所述一个参数值为特征的所述单位单元具有满足预定准则的电路特性;根据所述单元示意图生成所述单位单元的单元布局;及根据所述单位单元的所述单元布局制造所述集成电路。
附图说明
当结合附图阅读时,从下文详细描述最好地理解本发明实施例的方面。应注意,根据标准工业实践,各种构件未按比例绘制。事实上,为清楚论述起见,可任意地增大或减小各种构件的尺寸。
图1说明根据本发明实施例的一些实施例的制造集成电路的实例性系统。
图2A说明根据本发明实施例的一些实施例的集成电路的实例性电路平面规划(floorplan)。
图2B说明根据本发明实施例的一些实施例的图2A中所展示的护环单元的实例性单元布局。
图3说明根据本发明实施例的一些实施例的合成集成电路的实例性方法的流程图。
图4说明根据本发明实施例的一些实施例的集成电路的单位单元的实例性单元示意图。
图5说明根据本发明实施例的一些实施例的集成电路的单位单元的实例性单元示意图。
图6说明根据本发明实施例的一些实施例的从图5中所展示的单元示意图转移的实例性单元布局。
图7说明根据本发明实施例的一些实施例的使用图6中所展示的单元布局形成的实例性电路布局。
图8说明根据本发明实施例的一些实施例的集成电路的实例性电路平面规划。
图9说明根据本发明实施例的一些实施例的使用图5中所展示的单元示意图形成的实例性示意图设计。
图10是根据本发明实施例的一些实施例的制造集成电路的实例性方法的流程图。
图11是根据本发明实施例的一些实施例的制造集成电路的实例性方法的流程图。
图12说明根据本发明实施例的一些实施例的图1中所展示的控制电路及程序代码的实施方案。
具体实施方式
本发明实施例提供实施所提供标的物的不同特征的许多不同实施例或实例。下文描述组件及布置的特定实例以简化本发明实施例。当然,这些仅仅是实例且并非旨在限制性。例如,在下文描述中第一构件形成在第二构件上方或上可包含其中第一构件及第二构件经形成为直接接触的实施例,且也可包含其中额外构件可经形成在第一构件与第二构件之间,使得第一构件及第二构件可不直接接触的实施例。另外,本发明实施例可在各种实例中重复参考数字及/或字母。这个重复出于简化及清楚的目的且本身不规定所论述的各种实施例及/或配置之间的关系。
另外,将理解,当元件被称为“连接到”或“耦合到”另一元件时,其可直接连接到或耦合到另一元件,或可存在中介元件。
此外,为便于描述,空间相对术语(例如“在…下面”、“在…下方”、“下”、“在…上方”、“上”等等)可在本文中用来描述一个元件或构件与另一(其它)元件或构件的关系,如图中所说明。空间相对术语旨在涵盖除图中所描绘的定向以外的装置在使用或操作中的不同定向。设备可以其它方式定向(旋转90度或按其它定向)且对应地可同样解释本文中所使用的空间相对描述词。
AMS电路设计利用更复杂于严格数字设计的流程。在AMS设计过程期间,电路设计者必须重复地且手动地修改电路示意图及对应电路布局以便找到优化设计参数。例如,电路设计者可形成AMS集成电路的示意图设计。电路设计者根据性能规格及给定技术节点将相应装置尺寸指派给AMS集成电路的电路元件。对示意图设计执行布局前模拟以模拟AMS集成电路的性能。如果经模拟性能不满足性能规格,那么电路设计者必须修改示意图设计中的装置尺寸中的至少一者,且再次启用布局前模拟以确定是否可满足性能规格。然而,由于电路元件的装置尺寸选择很大程度上取决于电路设计者的经验及知识,因此在经模拟性能满足性能规格之前通常多次修改装置尺寸。
当经模拟性能满足性能规格时,根据可能已多次修改的示意图设计生成布局设计。对布局设计执行布局后模拟。与其中不考虑AMS集成电路的布局相依效应(LDE)的布局前模拟相比,将LDE并入到布局后模拟中,使得所得经模拟性能可更准确地反映实际电路响应。如果布局后模拟确定布局设计不满足性能规格,那么电路设计者必须解释模拟性能,且基于其自身经验修订布局设计及示意图设计中的至少一者。因此,不仅迭代地修改示意图设计而且修改布局设计,直到布局前模拟结果及布局后模拟结果两者满足性能规格。前述试错过程导致浪费人力资源且增加制造成本。
本发明实施例描述借助于可编程电路合成来制造集成电路的实例性方法。可基于集成电路的单位单元执行可编程电路合成。例如,集成电路可包含各自具有类似/相同电路结构的多个单位单元。每一单位单元具有可编程设计参数。在形成集成电路的电路设计之前,实例性方法可通过确定单位单元的合适或优化设计参数值来形成单位单元的合适或优化电路设计。通过将单位单元的经确定电路设计指派给其它单位单元,实例性方法可合成集成电路的合适或优化电路设计而无需人工迭代。
在一些实施例中,集成电路可为模拟集成电路或AMS集成电路。集成电路的单位单元可为模拟电路单元或AMS电路单元。实例性方法可提供可编程模拟合成以制造集成电路。在一些实施例中,单位单元的电路设计可包含单位单元的示意图表示及布局表示中的至少一者。
通过使用基于单位单元的可编程电路合成,实例性方法可实现自动化电路设计流程以节省时间且降低成本。下文提供进一步描述。
图1说明根据本发明实施例的一些实施例的制造集成电路的实例性系统。系统100可提供可编程电路合成平台以实现自动化设计流程。在本实施例中,集成电路(图1中未展示)可为模拟集成电路或AMS集成电路,且可编程电路合成平台可为可编程模拟合成平台。所属领域的技术人员将认识到,在不背离本发明实施例的范围的情况下,系统100可应用于制造其它类型的集成电路。
系统100包含但不限于电路设计系统110及电路生成系统120。电路设计系统110经配置以为电路生成系统120提供集成电路的设计信息DI。电路生成系统120经配置以根据设计信息DI实施集成电路。作为实例而非限制,电路生成系统120经配置以根据设计信息DI中包含的布局设计,在多重图案化沉积工艺及/或其它合适电路制造工艺中使用多个光掩模而在衬底上形成电路。
在本实施例中,电路设计系统110包含但不限于控制电路112、存储装置114及输入/输出(I/O)模块118。控制电路112(包含至少一个处理器或至少一个控制器)可操作以控制电路设计系统102的操作。例如,控制电路112可包含(若干)中央处理器、(若干)图形处理单元、(若干)通用处理器、(若干)数字信号处理器、(若干)微处理器或其它类型的处理器。作为另一实例,控制电路112可包含(若干)微控制器、(若干)专用集成电路、(若干)现场可编程门阵列、(若干)可编程逻辑装置或其它类型的控制器。
存储装置114可包含能够存储数据、指令、软件程序或其组合的任何非暂时性计算机可读媒体。例如,存储装置114可由存储器装置来实施,包含只读存储器(ROM)、随机存取存储器(RAM)、快闪存储器、内容可寻址存储器(CAM)、磁盘存储器、存储卡或适于存储信息的任何其它类型的存储器装置。在本实施例中,存储装置114可存储程序代码PROG(即,执行指令集),所述程序代码PROG致使控制电路112执行电路设计工具113的电路设计操作。电路设计操作可包含示意图生成、布局生成、设计规则验证及布局后模拟中的至少一者。电路设计工具113可包含计算机辅助设计(CAD)工具及电子设计自动化(EDA)工具中的至少一者。存储装置114也可存储用于电路设计操作的电路设计数据库116。
I/O模块118可经配置以在设计过程期间接收设计集成电路的输入信号,且输出相关联信息。作为实例而非限制,I/O模块118可包含键盘、鼠标、显示器、触摸屏、其它类型的I/O装置或其组合。当执行程序代码PROG时,控制电路112可控制I/O模块118以显示与在电路设计系统110中启动的电路设计工具113相关联的图形用户接口。
在一些实施例中,电路设计数据库116可存储与多个电路单元相关联的设计数据。当由控制电路112执行时,程序代码PROG可致使控制电路112根据与电路单元相关联的设计数据形成集成电路的单位单元的电路设计,所述电路单元具有与单位单元的电路结构类似/相同的电路结构。例如,与电路单元相关联的设计数据可包含不同单元尺寸的电路单元所拥有的不同电路特性。程序代码PROG可包含促进电路设计的优化的一或多种算法,例如人工智能(AI)算法。因此,程序代码PROG可致使控制电路112选择对应于满足性能规格的电路特性的单元尺寸中的一者作为单位单元的单元尺寸,由此形成单位单元的合适/优化电路设计。而且,控制电路112可参考单位单元的电路设计以生成集成电路的其它单位单元的相应电路设计,由此生成集成电路的合适/优化电路设计而无需耗时的试误迭代。
在一些实施例中,控制电路112可经配置以生成存储在电路设计数据库116中的与一或多个电路单元相关联的设计数据。例如,控制电路112可对不同单元尺寸的电路单元执行电路模拟,且将电路单元所拥有的对应电路特性存储到电路设计数据库116中。当由控制电路112执行时,程序代码PROG可致使控制电路112存取电路设计数据库116以形成集成电路的单位单元的合适/优化电路设计。
通过使用基于程序代码形成的单位单元的合适/优化电路设计,电路设计系统110可提供自动化且可编程的电路合成以制造集成电路。参考图2A,说明根据本发明实施例的一些实施例的集成电路202的实例性电路平面规划204。集成电路202可表示参考图1中所展示的系统100所描述的集成电路的实施例。在本实施例中,集成电路202可经实施为但不限于模拟集成电路或AMS集成电路。集成电路202具有包含有源电路单元及护环单元的多个单位单元。标记为AC_A的每一有源电路单元可提供(若干)电信号及功能。例如,每一有源电路单元AC_A可包含一或多个晶体管。护环单元可为有源电路单元AC_A提供电隔离/保护。在本实施例中,护环单元可根据其单元位置分为不同群组。护环单元GR_C中的每一者经安置在电路平面规划204的角落上。护环单元GR_H中的每一者经安置在电路平面规划204的水平外围侧上。护环单元GR_V中的每一者经安置在电路平面规划204的垂直外围侧上。护环单元GR_I中的每一者经安置在电路平面规划204内部。图2B说明根据本发明实施例的一些实施例的图2A中所展示的护环单元GR_C、GR_H、GR_V及GR_I的实例性单元布局。
在图2A中所展示的实施例中,可在自动化安置过程期间将每一单位单元指派给电路平面规划204的电路块,当执行程序代码的相关联指令时可启用所述自动化安置过程。在将每一单位单元的单元布局安置在电路平面规划204的电路块中之后,可启用自动化布线过程以电连接单位单元。例如,在确定每一单位单元的合适/优化单元布局之后,图1中所展示的程序代码PROG可致使图1中所展示的控制电路112执行自动化安置操作及自动化布线操作,由此实现基于程序的电路合成。
图3说明根据本发明实施例的一些实施例的合成集成电路的实例性方法的流程图。方法300可在图1中所展示的系统100中用来实现可编程电路合成平台。值得注意的是,在不背离本发明实施例的范围的情况下,方法300可用于合成集成电路的其它系统中。另外,在一些实施例中,可执行方法300中的其它操作。在一些其它实施例中,方法300的操作可按不同顺序执行及/或可变动。在一些其它实施例中,方法300的一或多个操作可为任选的。
为了促进对本发明实施例的理解,下文参考图1中所展示的系统100连同图4到图8中所展示的电路设计表示一起描述方法300。图4到图8中所展示的电路设计表示与AMS集成电路相关,所述AMS集成电路可经实施为数/模转换器(DAC)。然而,所属领域的技术人员将认识到,在不背离本发明实施例的范围的情况下,方法300可用来合成具有其它电路拓扑的集成电路。
在操作302处,形成集成电路的单位单元的单元示意图。例如,参考图1及图4,系统100经配置以制造包含多个单位单元UC的电流导向式DAC。每一单位单元UC可被称为电流导向式DAC的电流单元。控制电路112可形成单位单元UC的单元示意图CS1,即,单位单元UC的示意图表示。单元示意图CS1可指示单位单元UC的单元尺寸。I/O模块118可经配置以显示单元示意图CS1。
在本实施例中,单位单元UC包含但不限于共源共栅电流源及输出开关。共源共栅电流源可由多个晶体管M1及M2来实施。输出开关可由晶体管M3来实施。单元示意图CS1中的单位单元UC的单元尺寸可由包含至少一个晶体管尺寸参数的尺寸参数集{sch_para}来表示。例如,单位单元UC的尺寸参数集{sch_para}包含尺寸参数W1、Nf1、W2及Nf2,其中尺寸参数W1及Nf1分别表示晶体管M1的沟道宽度及指部数目,且尺寸参数W2及Nf2分别表示晶体管M2的沟道宽度及指部数目。
单元示意图CS1中的单位单元UC的尺寸参数集{sch_para}可根据由客户提供的性能规格被指派参数值集。作为实例而非限制,性能规格可定义1μA的输出电流。单元示意图CS1中所展示的尺寸参数W1及W2中的每一者可对应地被指派为2,这意味着将晶体管M1及M2的相应沟道宽度设置为相应通道长度的两倍。相应通道长度可等于给定技术节点的最小通道长度。而且,单元示意图CS1中所展示的尺寸参数Nf1及Nf2中的每一者可对应地被指派为2,这意味着将晶体管M1及M2的相应指部数目设置为两个。单元示意图CS1可被视为示意图模板。
在操作304处,根据预定准则修订单元示意图中的单位单元的尺寸参数集的参数值集。以经修订参数值集为特征的单位单元具有满足预定准则的电路特性。在操作306处,形成指示单位单元的尺寸参数集的经修订参数值集的另一单元示意图。
例如,参考图1及图5,控制电路112可执行程序代码PROG中包含的一或多种算法以根据预定准则修订尺寸参数集{sch_para}的参数值集。一或多种经执行算法可为一或多种AI算法,包含决策树、朴素贝叶斯分类器、聚类分类、支持向量机及其它机器学习算法。以单元示意图CS2中的参数值集为特征的单位单元UC可具有满足预定准则的电路特性。电路特性可包含但不限于单位单元UC的增益值。预定准则可指定预定增益值范围。当单位单元UC的增益值落入预定增益值范围内时,满足预定准则。在根据预定准则确定尺寸参数集{sch_para}的经修订参数值集之后,控制电路112可执行程序代码PROG以启用示意图生成器,所述示意图生成器可根据经修订参数值集形成单元示意图CS2。
在本实施例中,电路特性包含单位单元UC的增益值。预定准则可指定预定增益值范围。当单位单元UC的增益值落入预定增益值范围内时,满足预定准则。作为实例而非限制,预定准则可指定电流源晶体管的本质增益的预定值范围,即,晶体管M1/M2的跨导gm与输出电阻ro的乘积。当晶体管M1/M2的本质增益的值落入预定范围(例如28<gm×ro<31)内时,满足预定准则。在单元示意图CS2中,尺寸参数W1及Nf1的相应参数值分别经设置为8及2,使得晶体管M1的本质增益具有落入预定范围内的值。尺寸参数W2及Nf2的相应参数值分别经设置为8及4,使得晶体管M2的本质增益具有落入预定范围内的值。
值得注意的是,具有高输出阻抗的电流导向式DAC可展现高线性度。例如在饱和区域中操作DAC单位单元的电流源晶体管(例如晶体管M1/M2)可增加电流导向式DAC的输出阻抗。指定电流源晶体管的本质增益的预定值范围的预定准则可确保电流源晶体管在饱和区域中操作,因此实现具有高线性度的电流导向式DAC。另外,由预定准则指定的预定范围可变动,只要DAC单位单元的电流源晶体管可在饱和区域中操作即可。作为实例而非限制,在一些实施例中,由预定准则定义的预定范围可为gm×ro>20。
在一些实施例中,控制电路112可根据存储在电路设计数据库116中的尺寸参数集{sch_para}的多个候选参数值集确定尺寸参数集{sch_para}的参数值集。例如,当由控制电路112执行时,程序代码PROG可致使控制电路112从尺寸参数集{sch_para}的候选参数值集选择候选参数值集。由于以选定候选参数值集为特征的单位单元UC拥有满足预定准则的电路特性,因此控制电路112可使用选定候选参数值集作为单元示意图CS2中的尺寸参数集{sch_para}的经修订参数值集。
在操作308处,根据单位单元的尺寸参数集的经修订参数值集生成电路布局。在本实施例中,可根据单位单元的尺寸参数集的经修订参数值集生成单位单元的单元布局。可通过将单元布局指派给集成电路的电路平面规划中的电路块中的每一者来生成电路布局。
例如,首先参考图1及图6,控制电路112可执行程序代码PROG以启用布局生成器,所述布局生成器可根据单元示意图CS2中的尺寸参数集{sch_para}的经修订参数值集形成单位单元UC的单元布局602。单元布局602包含晶体管M1到M3的相应布局结构L_M1到L_M3。值得注意的是,单元布局602可进一步包含多个布局结构L_GR1、L_RP及L_GR2。布局结构L_GR1及L_GR2中的每一者经配置以定义护环结构,所述护环结构能够为单位单元UC提供电隔离/保护。由布局结构L_GR1及LGR2定义的护环结构可分别连结到电力供应器及接地。布局结构L_RP经配置以定义可用于布线的轨道空间。多个电互连布线路径可经放置在轨道空间中。
在本实施例中,布局生成器或控制电路112可根据呈基于单位单元的布局样式提供的布局模板LT生成单元布局602。布局模板LT包含多个护环区域ntap及ptap、多个晶体管区域pch0、nch0及nch1、及布线区域RA。护环区域ntap及ptap中的每一者经配置以定义护环结构。布局生成器可根据单元示意图CS2中的尺寸参数集{sch_para}的参数值集特性化护环区域ntap及ptap,由此分别生成布局结构L_GR1及L_GR2。
晶体管区域pch0、nch0及nch1中的每一者经配置以定义晶体管结构。每一晶体管区域包含氧化物扩散段OD及一或多个多门段PG。布局生成器可根据单元示意图CS2中的尺寸参数集{sch_para}的经修订参数值集特性化晶体管区域pch0、nch0及nch1,由此分别生成布局结构L_M1到L_M3。
定位在晶体管区域ch0与护环区域ptap之间的布线区域RA经配置以定义可用于布线的轨道空间。轨道空间可被表达为轨道数目tNum。布局生成器可根据单元示意图CS2中的尺寸参数集{sch_para}的经修订参数值集确定轨道数目tNum,由此生成布局结构L_RP。
当形成单位单元UC的单元布局602时,布局生成器或控制电路112可根据电路平面规划及单元布局602生成电路布局。参考图1且也参考图7,控制电路112可执行程序代码PROG以将单元布局602指派给集成电路的电路平面规划701中的电路块中的每一者,由此生成电路布局702。因此,电路布局702(也被称为顶部单元布局)可包含分别与电路平面规划701中的电路块对应地布置的单元布局602的多个复制物。在本实施例中,集成电路可经实施为但不限于具有26个单位单元的6位二进制加权电流导向式DAC。26个单位单元或26个有源电路单元包含25个第一最高有效位(MSB)单位单元、24个第二MSB单位单元、23个第三MSB单位单元、22个第四MSB单位单元、21个第五MSB单位单元及20个最低有效位(LSB)单位单元。将26个单位单元分别指派给电路平面规划701中的26个电路块。例如,将25个第一MSB单位单元分别指派给25个电路块(被标记为5)。将24个第二MSB单位单元分别指派给24个电路块(被标记为4)。将23个第三MSB单位单元分别指派给23个电路块(被标记为3)。将22个第四MSB单位单元分别指派给22个电路块(被标记为2)。将21个第五MSB单位单元分别指派给21个电路块(被标记为1)。将20个LSB单位单元指派给20个电路块(被标记为0)。由于26个单位单元中的每一者可使用单元布局602作为其单元布局,因此可将单元布局602指派给26个电路块中的每一者。
电路平面规划701可进一步包含多个电路块D及电路块Gm。每一电路块D被指派虚拟单元,所述虚拟单元是作为护环单元以提供电隔离/保护的单位单元。在一些实施例中,虚拟单元可用于DAC校准。定位在电路平面规划701中的参考位置处的电路块Gm也可被指派虚拟单元。可根据参考位置确定在电路平面规划701中安置单位单元的单元布局的位置。电路平面规划701中的其它电路块可相对于电路块Gm(即,参考位置)对称地定位。例如,电路701中的电路块经布置成具有多个行ref、dmy1、dmy2及row1到row6的阵列。参考位置可为所述阵列的中心位置。
在本实施例中,电路平面规划701中的电路块可包含布置成重复图案的多个电路块群组BG1到BG4。作为实例而非限制,电路平面规划701可经实施为基于行的平面规划,其中电路元件经安置成行且通道在电路元件行之间水平地延伸。电路块群组BG1包含定位在行中的多个相邻电路块,分别被标记为5、5、5、4及5。行row1及row6中的每一者可利用电路块群组BG1来构建相同电路块布置。电路块群组BG2包含定位在行中的多个相邻电路块,分别被标记为5、5、4、3及4。行row2及row5中的每一者可利用电路块群组BG2来构建相同电路块布置。电路块群组BG3包含定位在行中的多个相邻电路块,分别被标记为5、4、3、2及1。行row3及row4中的每一者可利用电路块群组BG3来构建相同电路块布置。电路块群组BG4包含定位在行中的多个相邻电路块,分别被标记为5、5、4及0。行ref可利用电路块群组BG4来构建其电路块布置。
通过将单元布局602指派给电路块群组BG1到BG4中的每一电路块,控制电路112可分别生成多个单元布局群组LG1到LG4。另外,通过根据重复图案布置单元布局群组LG1到LG4,控制电路112可生成电路布局702。在本实施例中,电路布局702可包含局部互连结构,例如M0金属层。
在根据电路平面规划及合适/优化单位单元布局生成顶部单元布局(例如电路布局702)之后,可在后端设计阶段中启用自动化布线过程。
在操作310处,在电路布局上提供一或多个布线路径以生成集成电路的布局设计。可根据布局设计制造集成电路。例如,参考图1及图7,在根据电路平面规划701及单元布局602生成电路布局702之后,程序代码PROG可致使控制电路112启用自动化布线工具。自动化布线工具(也被称为自动化布线器)可利用存储在电路设计数据库116中的布线规格来在电路布局702上提供一或多个布线路径(图7中未展示),由此生成集成电路的布局设计。布局设计可为设计规则检查(DRC)清除及布局与示意图(LVS)清除布局设计。在一些实施例中,布线规格包含布线层数目、布线层着色、布线导线长度、布线导线宽度、布线导线间隔、布线导线定向及其组合。在一些实施例中,布线规格包含信号净定义、时钟净定义、功率净定义及其组合。在一些实施例中,布线规格包含引脚名称定义、布线失配公差、布线电迁移(EM)公差、与布线层相关联的电压电平及其组合。
值得注意的是,图6及图7中所展示的布局样式是出于说明性目的而提供,且并非旨在限制本发明实施例的范围。例如,可通过将单位单元布局(例如单元布局602)指派给具有不同电路块拓扑的电路平面规划来形成集成电路的顶部单元布局。
参考图8,说明根据本发明实施例的一些实施例的具有与图7中所展示的电路平面规划701的电路块拓扑不同的电路块拓扑的电路平面规划。在本实施例中,电路平面规划801中的电路块可包含布置成重复图案的多个电路块群组BG1’到BG4’。在本实施例中,电路块群组BG1’包含定位在行中的多个相邻电路块,分别被标记为5、5、5及5。电路块群组BG2’包含定位在行中的多个相邻电路块,分别被标记为4、4、4及4。电路块群组BG3’包含定位在行中的多个相邻电路块,分别被标记为3、3、3及3。电路块群组BG4’包含定位在行中的多个相邻电路块,分别被标记为2、2、1及0。通过将合适/优化单位单元布局(例如图6中所展示的单元布局602)指派给电路块群组BG1’到BG4’中的每一电路块,图1中所展示的控制电路112可根据电路平面规划801及合适/优化单位单元布局生成顶部单元布局。
在一些实施例中,在操作306处,可以阶层方式形成集成电路的示意图设计。例如,集成电路的电路平面规划包含电路块行。每一电路块行包括多个电路块。在操作306处,在形成指示经修订参数值集的另一单元示意图之后,根据另一单元示意图形成每一电路块行中的电路块的相应块示意图。而且,根据块示意图形成电路块行的电路示意图。根据电路块行的相应电路示意图,可形成集成电路的示意图设计。
参考图1且也参考图9,由控制电路112启用的示意图生成器可根据单元示意图CS2形成每一行中的电路块的相应块示意图,且根据块示意图形成所述行的电路示意图。因此,所述行的电路示意图是根据单元示意图CS2形成的基于行的示意图。例如,可根据单元示意图CS2形成行row1的电路示意图S_row1。电路示意图S_row1中包含的块示意图分别与行row1中的电路块对应地布置。块示意图S_5对应于标记为5的电路块,且块示意图S_4对应于标记为4的电路块。而且,块示意图S_D对应于被指派虚拟单元的电路块D。在分别形成对应于行ref、dmy1、dmy2及row1到row6的电路示意图S_ref、S_dmy1、S_dmy2及S_row1到S_row6之后,由控制电路112启用的示意图生成器可对应地形成集成电路的示意图设计802。
在操作312处,执行测试台或后模拟。例如,可对以经修订参数值集为特征的单位单元执行后模拟以生成模拟结果。当模拟结果不满足另一预定准则(例如预定频率响应、预定瞬态波形或其它预定电路特性)时,方法300返回到操作304,使得可再次修改单位单元的尺寸参数集的经修订参数值集。对应地获得尺寸参数集的另一经修订参数值集。以另一经修订参数值集为特征的单位单元具有满足预定准则的电路特性。
作为另一实例,可对集成电路的布局设计执行后模拟以生成模拟结果。当模拟结果不满足另一预定准则(例如预定频率响应、预定瞬态波形或其它电路特性)时,方法300返回到操作310,使得可修改集成电路的布局设计。
图10是根据本发明实施例的一些实施例的制造集成电路的实例性方法的流程图。方法1000可为方法300的实施例。方法1000可在图1中所展示的系统100中用来实现用于集成电路制造的可编程电路合成平台。所属领域的技术人员应明白,在不背离本发明实施例的范围的情况下,方法1000可用于制造集成电路的其它系统中。另外,在一些实施例中,可执行方法1000中的其它操作。在一些实施例中,方法1000的操作可按不同顺序执行及/或可变动。在一些其它实施例中,方法1000的一或多个操作可为任选的。
除图3中所展示的方法300的操作302到310以外,方法1000还可包含操作1022A、1024A、1022B、1024B及1030。操作1022A、1024A、1022B及1024B可为图3中所展示的操作312的实施例。
在操作1022A处,在操作304处根据预定准则确定尺寸参数集的经修订参数值集之后,控制电路112可对以经修订参数值集为特征的单位单元执行模拟以生成第一模拟结果。
在操作1024A处,控制电路112可确定第一模拟结果是否满足另一预定准则,例如预定频率响应、预定瞬态波形或其它预定电路特性。如果第一模拟结果满足另一预定准则,那么方法1000前进到操作308;否则,方法1000返回到操作304。
在操作1022B处,在操作304处生成集成电路的布局设计之后,控制电路112可对布局设计执行另一模拟以生成第二模拟结果。
在操作1024B处,控制电路112可确定第二模拟结果是否满足另一预定准则,例如预定频率响应、预定瞬态波形或其它预定电路特性。如果第二模拟结果满足另一预定准则,那么方法1000前进到操作1030;否则,方法1000返回到操作310。
在操作1030处,电路设计系统110可提供包含集成电路的布局设计的设计信息DI。电路生成系统120可根据设计信息DI制造集成电路。
在一些实施例中,可在前端设计时间处执行操作302到308、1022A及1024A以形成合适/优化顶部单元布局。在一些实施例中,可在后端设计时间处执行操作310、1022B及1024B以启用自动化布线过程。
通过使用基于程序代码形成的单位单元的合适/优化电路设计,电路设计系统110可生成集成电路的合适/优化电路设计而无需耗时的试误迭代。而且,通过使用自动化平面规划及布线,电路设计系统100可节省通过由先进技术节点引入的DRC时的布局工作量。此外,电路设计系统100可使先进技术节点从示意图设计转移到布局设计更容易。
图11是根据本发明实施例的一些实施例的制造集成电路的实例性方法的流程图。方法1100可为方法300的实施例。方法1100是出于说明性目的而参考图1中所展示的系统100来描述。所属领域的技术人员应明白,在不背离本发明实施例的范围的情况下,方法1100可用于制造集成电路的其它系统中。另外,在一些实施例中,可执行方法1100中的其它操作。在一些实施例中,方法1100的操作可按不同顺序执行及/或可变动。在一些其它实施例中,方法1100的一或多个操作可为任选的。
在操作1102处,接收集成电路的单位单元的单元示意图。单位单元可为集成电路的模拟电路单元或AMS电路单元。例如,控制电路112可接收从电路设计者输入的示意图数据以形成单位单元的单元示意图。作为另一实例,控制电路112可选择存储在电路设计数据库116中的示意图模板作为单位单元的单元示意图。示意图模板具有与单位单元的单元结构相同的单元结构。在一些实施例中,操作1102的至少部分可由图3中所展示的操作302来实施。
在操作1104处,根据预定准则确定单元示意图中的单位单元的尺寸参数集的参数值集。以参数值集为特征的单位单元具有满足预定准则的电路特性。例如,通过根据预定准则修订图4中所展示的单元示意图CS1中指示的尺寸参数集{sch_para}的参数值集,控制电路112可确定图5中所展示的单元示意图CS2中指示的尺寸参数集{sch_para}的参数值集。作为另一实例,在接收由客户提供的性能规格之后,控制电路112可根据预定准则指派/确定图5中所展示的尺寸参数集{sch_para}的参数值集,而非提前形成图4中所展示的单元示意图CS1,由此形成图5中所展示的单位单元UC的单元示意图CS2。在一些实施例中,操作1104的至少部分可由图3中所展示的操作304来实施。
在操作1106处,根据指示尺寸参数集的参数值集的单元示意图生成单位单元的单元布局。例如,控制电路112可执行程序代码PROG以启用布局生成器。布局生成器可根据尺寸参数集的经确定参数值集形成单位单元布局,例如图6中所展示的单元布局602。
在一些实施例中,可通过将单位单元布局指派给集成电路的电路平面规划中的电路块中的每一者来生成电路布局。可根据电路布局生成集成电路。例如,参考图1及图7,由控制电路112启用的布局生成器可将单元布局602指派给电路平面规划701中的每一电路块以生成电路布局702,所述电路布局702可用于制造集成电路。在一些实施例中,操作1106的至少部分可由图3中所展示的操作308来实施。
在操作1108处,根据单位单元的单元布局制造集成电路。例如,电路生成系统120可根据设计信息DI制造集成电路,所述设计信息DI包含与集成电路的布局设计相关联的设计数据。根据单位单元布局形成集成电路的布局设计。
图12说明根据本发明实施例的一些实施例的图1中所展示的控制电路112及程序代码PROG的实施方案。在本实施例中,控制电路112及程序代码PROG可用来实施能够提供可编程模拟合成平台的模拟设计生成器。程序代码PROG包含优化示意图设计的程序代码C_sch、优化布局设计的程序代码C_lay、用于DRC/LVS的程序代码C_drc及用于后模拟的程序代码C_sim。供应到程序代码PROG的输入自变量Sch_spec可包含但不限于与示意图模板相关联的规格数据及设计数据。
当由控制电路112执行时,程序代码C_sch可致使控制电路112启动AI算法1202A或设计者定义程序代码,以通过调用应用程序设计接口(API)1204而进行示意图优化。当由控制电路112执行时,程序代码C_lay可致使控制电路112启动EDA工具1202B以通过API调用进行电路设计工具113中包含的布局优化。当由控制电路112执行时,程序代码C_drc可致使控制电路112启动EDA工具1202C以通过API调用进行电路设计工具113中包含的DRC/LVS。当由控制电路112执行时,程序代码C_sim可致使控制电路112启动EDA工具1202D以通过API调用进行电路设计工具113中包含的后模拟。
通过使用可编程模拟合成平台,系统100可实现自动化模拟设计合成。
本文中所描述的一些实施例可包含一种制造集成电路的方法。所述方法包含:接收所述集成电路的单位单元的单元示意图;根据预定准则确定所述单元示意图中的所述单位单元的尺寸参数集的参数值集,其中以所述参数值集为特征的所述单位单元具有满足所述预定准则的电路特性;根据指示所述尺寸参数集的所述参数值集的所述单元示意图生成所述单位单元的单元布局;及根据所述单位单元的所述单元布局制造所述集成电路。
本文中所描述的一些实施例可包含一种制造集成电路的方法。所述方法包含:根据预定准则将参数值集指派给所述集成电路的单位单元的单位单元示意图中的所述单位单元的尺寸参数集,其中以所述参数值集为特征的所述单位单元具有满足所述预定准则的电路特性;根据所述单位单元示意图生成所述单位单元的单位单元布局;生成包括所述单位单元布局的多个复制物的电路布局,所述单位单元布局的所述复制物分别与所述集成电路的电路平面规划中的电路块对应地布置;及根据所述电路布局制造所述集成电路。
本文中所描述的一些实施例可包含一种制造集成电路的系统。所述系统包含控制电路及存储装置。耦合到所述控制电路的所述存储装置经配置以存储电路设计数据库及程序代码。所述电路设计数据库存储对应于所述集成电路的单位单元的多个电路特性。所述单位单元的尺寸参数集的多个候选参数值集分别用来特性化所述单位单元以获得所述电路特性。当由所述控制电路执行时,所述程序代码致使所述控制电路:根据所述电路设计数据库形成所述单位单元的单元示意图,其中所述单元示意图中的所述单位单元的所述尺寸参数集具有所述候选参数值集中的一个参数值,且以所述候选参数值集中的所述一个参数值为特征的所述单位单元具有满足预定准则的电路特性;根据所述单元示意图生成所述单位单元的单元布局;及根据所述单位单元的所述单元布局制造所述集成电路。
前述内容概述若干实施例的特征,使得所属领域的技术人员可更好地理解本发明实施例的方面。所属领域的技术人员应明白,其可容易使用本发明实施例作为设计或修改实行本文中所介绍的实施例的相同目的及/或实现相同优点的其它过程及结构的基础。所属领域的技术人员还应认识到,此类等效构造不背离本发明实施例的精神及范围,且其可在不背离本发明实施例的精神及范围的情况下在本文中作出各种改变、置换及更改。
符号说明
100 系统
110 电路设计系统
112 控制电路
113 电路设计工具
114 存储装置
116 电路设计数据库
118 输入/输出(I/O)模块
120 电路产生系统
202 集成电路
204 电路平面规划
300 方法
302 操作
304 操作
306 操作
308 操作
310 操作
312 操作
602 单元布局
701 电路平面规划
702 电路布局
801 电路平面规划
802 示意图设计
1000 方法
1022A 操作
1022B 操作
1024A 操作
1024B 操作
1030 操作
1100 方法
1102 操作
1104 操作
1106 操作
1108 操作
1202A 人工智能(AI)算法
1202B 电子设计自动化(EDA)工具
1202C 电子设计自动化(EDA)工具
1202D 电子设计自动化(EDA)工具
1204 应用程序设计接口(API)
BG1 电路块群组
BG1' 电路块群组
BG2 电路块群组
BG2' 电路块群组
BG3 电路块群组
BG3' 电路块群组
BG4 电路块群组
BG4' 电路块群组
CS1 单元示意图
CS2 单元示意图
DI 设计信息
LG1 单元布局群组
LG2 单元布局群组
LG3 单元布局群组
LG4 单元布局群组
M1 晶体管
M2 晶体管
M3 晶体管
OD 氧化物扩散段
PG 多门段
PRO 程序代码
UC 单位单元

Claims (1)

1.一种制造集成电路的方法,其包括:
接收所述集成电路的单位单元的单元示意图;
根据预定准则确定所述单元示意图中的所述单位单元的尺寸参数集的参数值集,其中以所述参数值集为特征的所述单位单元具有满足所述预定准则的电路特性;
根据指示所述尺寸参数集的所述参数值集的所述单元示意图生成所述单位单元的单元布局;及
根据所述单位单元的所述单元布局制造所述集成电路。
CN202010331620.9A 2019-06-17 2020-04-24 借助于可编程电路合成来制造集成电路的方法及系统 Pending CN112100966A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/443,055 US10860777B1 (en) 2019-06-17 2019-06-17 Method and system for fabricating integrated circuit with aid of programmable circuit synthesis
US16/443,055 2019-06-17

Publications (1)

Publication Number Publication Date
CN112100966A true CN112100966A (zh) 2020-12-18

Family

ID=73653741

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202010331620.9A Pending CN112100966A (zh) 2019-06-17 2020-04-24 借助于可编程电路合成来制造集成电路的方法及系统

Country Status (3)

Country Link
US (2) US10860777B1 (zh)
CN (1) CN112100966A (zh)
TW (1) TW202109344A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022266906A1 (zh) * 2021-06-23 2022-12-29 华为技术有限公司 一种集成电路的版图生成方法及装置

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10860777B1 (en) * 2019-06-17 2020-12-08 Taiwan Semiconductor Manufacturing Company Ltd. Method and system for fabricating integrated circuit with aid of programmable circuit synthesis
CN116757145B (zh) * 2023-08-16 2024-04-30 合肥奎芯集成电路设计有限公司 集成电路设计版图处理方法、装置、电子设备及存储介质

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4595943A (en) * 1978-01-18 1986-06-17 Harris Corporation Reduced beta vertical transistors and method of fabrication
US6453446B1 (en) * 1997-12-24 2002-09-17 Magma Design Automation, Inc. Timing closure methodology
US6230304B1 (en) * 1997-12-24 2001-05-08 Magma Design Automation, Inc. Method of designing a constraint-driven integrated circuit layout
WO2000031871A1 (en) * 1998-11-25 2000-06-02 Nanopower, Inc. Improved flip-flops and other logic circuits and techniques for improving layouts of integrated circuits
US7076415B1 (en) * 1998-12-17 2006-07-11 Cadence Design Systems, Inc. System for mixed signal synthesis
US6813597B1 (en) * 1999-06-18 2004-11-02 Cadence Design Systems, Inc. Mixed signal synthesis
US6637018B1 (en) * 1999-10-29 2003-10-21 Cadence Design Systems, Inc. Mixed signal synthesis behavioral models and use in circuit design optimization
US6496962B1 (en) * 2000-11-17 2002-12-17 Lsi Logic Corporation Standard library generator for cell timing model
US6574779B2 (en) * 2001-04-12 2003-06-03 International Business Machines Corporation Hierarchical layout method for integrated circuits
CN100412860C (zh) * 2003-02-14 2008-08-20 株式会社爱可信 用于执行表格布局的方法和终端设备
US7530047B2 (en) * 2003-09-19 2009-05-05 Cadence Design Systems, Inc. Optimized mapping of an integrated circuit design to multiple cell libraries during a single synthesis pass
US8818784B1 (en) * 2004-06-23 2014-08-26 Cypress Semiconductor Corporation Hardware description language (HDL) incorporating statistically derived data and related methods
US7716612B1 (en) * 2005-12-29 2010-05-11 Tela Innovations, Inc. Method and system for integrated circuit optimization by using an optimized standard-cell library
US7498872B2 (en) * 2006-04-28 2009-03-03 Northrop Grumman Corporation Transistor devices configured to operate above a first cutoff frequency
US7784013B2 (en) * 2007-01-03 2010-08-24 PDF Acquisition Corp Method for the definition of a library of application-domain-specific logic cells
US8015517B1 (en) * 2008-06-06 2011-09-06 Nangate A/S Library sizing
US7512911B1 (en) * 2008-09-04 2009-03-31 International Business Machines Corporation Method for creating a parameterized cell library dual-layered rule system for rapid technology migration
WO2010073610A1 (ja) * 2008-12-24 2010-07-01 パナソニック株式会社 スタンダードセル・ライブラリ及び半導体集積回路
US8667444B2 (en) * 2012-02-17 2014-03-04 Synopsys, Inc. Concurrent placement and routing using hierarchical constraints
US8863058B2 (en) * 2012-09-24 2014-10-14 Atrenta, Inc. Characterization based buffering and sizing for system performance optimization
US9870441B1 (en) * 2013-10-04 2018-01-16 Pdf Solutions, Inc. Snap-to valid pattern system and method
US9785141B2 (en) * 2014-09-03 2017-10-10 Cadence Design Systems, Inc. Method, system, and computer program product for schematic driven, unified thermal and electromagnetic interference compliance analyses for electronic circuit designs
US10572620B2 (en) * 2017-08-02 2020-02-25 Oracle International Corporation Custom piecewise digital layout generation
US10699051B1 (en) * 2018-06-29 2020-06-30 Cadence Design Systems, Inc. Method and system for performing cross-validation for model-based layout recommendations
US10628546B1 (en) * 2018-06-29 2020-04-21 Cadence Design Systems, Inc. Method and system for automatically extracting layout design patterns for custom layout design reuse through interactive recommendations
US11106575B2 (en) * 2018-09-28 2021-08-31 Western Digital Technologies, Inc. Systems and methods for data storage in a memory system
US10860777B1 (en) * 2019-06-17 2020-12-08 Taiwan Semiconductor Manufacturing Company Ltd. Method and system for fabricating integrated circuit with aid of programmable circuit synthesis

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022266906A1 (zh) * 2021-06-23 2022-12-29 华为技术有限公司 一种集成电路的版图生成方法及装置

Also Published As

Publication number Publication date
TW202109344A (zh) 2021-03-01
US20200394279A1 (en) 2020-12-17
US10860777B1 (en) 2020-12-08
US20210081594A1 (en) 2021-03-18
US11238207B2 (en) 2022-02-01

Similar Documents

Publication Publication Date Title
US11238207B2 (en) Method and system for fabricating integrated circuit with aid of programmable circuit synthesis
US20200380188A1 (en) Automated circuit generation
US10216883B2 (en) Integrated circuit and method of designing integrated circuit
US20200151298A1 (en) Integrated circuit including different types of cells, and method and system of designing the same
US20190042684A1 (en) Schematic Driven Analog Circuit Layout Automation
US20180210421A1 (en) Method and system for manufacturing an integrated circuit in consideration of a local layout effect
US10678991B2 (en) Integrated device and method of forming the same
Martins et al. Analog Integrated Circuit Design Automation
US8473891B1 (en) System and method for integrated circuit layout editing using reference frames
US11694016B2 (en) Fast topology bus router for interconnect planning
US20230274064A1 (en) On-the-fly multi-bit flip flop generation
US11836433B2 (en) Memory instance reconfiguration using super leaf cells
US11042682B2 (en) Analog design tool having a cell set, and related methods
US11328109B2 (en) Refining multi-bit flip flops mapping without explicit de-banking and re-banking
CN115510802A (zh) 用于预测详细布线拓扑和轨道使用的机器学习模型
Yeap et al. VLSI circuit optimization for 8051 MCU
KR20220161478A (ko) 자동화된 표준 셀 설계를 위한 방법
US20230237236A1 (en) Method of designing layout of semiconductor integrated circuit, method of designing and manufacturing semiconductor integrated circuit using the same, and design system performing same
US20230252208A1 (en) Transforming a logical netlist into a hierarchical parasitic netlist
US11222154B2 (en) State table complexity reduction in a hierarchical verification flow
US20230267261A1 (en) Design system, design method and method of manufacture of semiconductor device
Shin et al. A Custom IC Layout Generation Engine Based on Dynamic Templates and Grids
US20230053139A1 (en) Apr placement for hybrid sheet cells
KR102434992B1 (ko) 로컬 레이아웃 효과를 고려하여 집적 회로를 제조하는 방법 및 시스템
Martins et al. State-of-the-Art on Analog Layout Automation

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
WD01 Invention patent application deemed withdrawn after publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20201218