CN112084802B - 一种rfid标签芯片验证系统 - Google Patents

一种rfid标签芯片验证系统 Download PDF

Info

Publication number
CN112084802B
CN112084802B CN202010807027.7A CN202010807027A CN112084802B CN 112084802 B CN112084802 B CN 112084802B CN 202010807027 A CN202010807027 A CN 202010807027A CN 112084802 B CN112084802 B CN 112084802B
Authority
CN
China
Prior art keywords
verification system
instruction
transaction
sequence
rfid tag
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202010807027.7A
Other languages
English (en)
Other versions
CN112084802A (zh
Inventor
请求不公布姓名
郭擎
谢金纯
丁志春
肖文哲
罗轶洲
何洪楷
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Guangzhou Xinshiwu Technology Co ltd
Original Assignee
Guangzhou Xinshiwu Technology Co ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Guangzhou Xinshiwu Technology Co ltd filed Critical Guangzhou Xinshiwu Technology Co ltd
Priority to CN202010807027.7A priority Critical patent/CN112084802B/zh
Publication of CN112084802A publication Critical patent/CN112084802A/zh
Application granted granted Critical
Publication of CN112084802B publication Critical patent/CN112084802B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06KGRAPHICAL DATA READING; PRESENTATION OF DATA; RECORD CARRIERS; HANDLING RECORD CARRIERS
    • G06K7/00Methods or arrangements for sensing record carriers, e.g. for reading patterns
    • G06K7/10Methods or arrangements for sensing record carriers, e.g. for reading patterns by electromagnetic radiation, e.g. optical sensing; by corpuscular radiation
    • G06K7/10009Methods or arrangements for sensing record carriers, e.g. for reading patterns by electromagnetic radiation, e.g. optical sensing; by corpuscular radiation sensing by radiation using wavelengths larger than 0.1 mm, e.g. radio-waves or microwaves
    • G06K7/10297Methods or arrangements for sensing record carriers, e.g. for reading patterns by electromagnetic radiation, e.g. optical sensing; by corpuscular radiation sensing by radiation using wavelengths larger than 0.1 mm, e.g. radio-waves or microwaves arrangements for handling protocols designed for non-contact record carriers such as RFIDs NFCs, e.g. ISO/IEC 14443 and 18092
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D30/00Reducing energy consumption in communication networks
    • Y02D30/70Reducing energy consumption in communication networks in wireless communication networks

Landscapes

  • Engineering & Computer Science (AREA)
  • Toxicology (AREA)
  • Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Electromagnetism (AREA)
  • Computer Security & Cryptography (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • General Health & Medical Sciences (AREA)
  • Artificial Intelligence (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Debugging And Monitoring (AREA)

Abstract

一种RFID标签芯片验证系统,包括顶层(1),测试层(2)环境层(3),本系统支持单指令、随机指令流和特定指令流等多种指令发送模式,支持自动检测仿真结果,输出日志可以用于快速定位验证系统的漏洞,本系统采用覆盖率驱动的受约束随机激励的方案,大大减少所需测试用例的数目,显著缩短开发周期。

Description

一种RFID标签芯片验证系统
技术领域
本申请一般涉及芯片功能验证技术领域,具体涉及一种RFID标签芯片验证系统。
背景技术
射频识别技术(Radio Frequency Identification,简称RFID)是一种利用射频信号自动识别目标对象并获取相关信息的技术。RFID系统一般包括上位机、读写器和标签。RFID的标签芯片的验证系统是通过模拟读写器发送指令来实现对标签芯片的全面验证。
传统的RFID芯片的验证手段通过Verilog构建直接激励,需要浪费大量的人力资源和开发时间。特别是针对兼容ISO/IEC 18000-6C协议或GB/T 29768-2013协议的标签芯片,由于此些协议提供了丰富的指令集,不同指令帧格式的差异与指令的随机组合,丰富的场景进一步增加了对芯片进行全面验证的难度。此外,传统方法还存在可重用性不高、缺乏有效的验证进度衡量指标等问题。
发明内容
鉴于现有技术中的上述缺陷或不足,期望提供一种RFID标签芯片验证系统和验证方法,能够解决现有技术中的传统验证方法可重用性不高、缺乏有效的验证进度衡量指标问题。
本申请提供了一种RFID标签芯片验证系统,包括:
顶层(1),用于实现标签数字基带(15)和环境层(3)的例化,包括虚接口(10),通过所述虚接口(10)连接所述标签数字基带(15)和所述环境层(3);
测试层(2),用于模拟所述标签数字基带(15)工作的各种激励场景,包括父类序列(6)和子类或嵌套序列(7),任意子类或嵌套序列(7)均由父类序列(6)派生或嵌套得到,进而发送事务包给环境层(3);
环境层(3),用于例化和连接各组件,构建适用于各个序列的通用验证环境,包括输入代理(4)、输出代理(5)、参考模型(12)、计分板(13)和功能覆盖率模块(14);
其中,所述输入代理(4)包括序列发生器(8)和驱动器(9),所述输出代理(5)包括监控器(11),所述序列发生器(8)接收所述子类或嵌套序列(7)发送的事务包,同时转发给所述驱动器(9),所述驱动器(9)依据协议规定的前向链路编码规则完成对指令帧的处理,编码数据通过所述虚接口(10)实时传递给所述标签数字基带(15),同时,所述驱动器(9)完成指令时序间隔控制、事务包转发任务,所述监控器(11)用于接收从标签数字基带(15)经虚接口(10)返回的响应数据包和内部关键信号,所述内部关键信号指后续被用于判断结果的相关信号,包括内部关键寄存器在不同时刻的值,所述监控器(11)在所述驱动器(9)的控制下完成第一次采样所述内部关键寄存器在指令响应前的初始值用于参考模型(12)预测并生成理想事务包,第二次采样响应数据包和内部关键寄存器变化后的值,采样同时完成所述响应数据包的解码并将采集的实际事务包广播给所述驱动器(9)和所述计分板(13),所述参考模型(12)传送所述理想事务包给所述计分板(13),所述计分板(13)通过比较所述理想事务包和实际事务包,检验标签数字基带(15)是否正确响应指令,若响应指令正确,所述功能覆盖率模块(14)执行功能覆盖率的统计,否则所述功能覆盖率模块(14)不执行功能覆盖率的统计,并打印错误信息,所述驱动器(9)将所述实际事务包反馈给所述父类序列(6)帮助产生新的事务;
代理配置类,实现所述输入代理(4)和所述输出代理(5)的参数配置;
环境配置类,实现环境的参数配置;
所述事务包是以指令为单位实现系统组件之间的传递;
所述子类或嵌套序列(7)支持单指令、随机指令流、或特定指令流中的一种或多种指令发送模式。
所述验证系统通过所述参考模型(12)和所述计分板(13)实现自动检测仿真结果,利用输出日志快速定位验证方案漏洞;
所述验证系统通过功能覆盖率模块(14)实现采用覆盖率驱动的受约束随机激励方案;
所述验证系统是基于UVM方法学设计实现。
本申请提供的RFID标签芯片验证系统,基于UVM方法学设计实现,采用覆盖率驱动的受约束随机激励的方案,可以大大减少所需测试用例的数目,显著缩短开发周期;该验证系统采用功能覆盖率衡量验证进度,且考虑到单条指令、指令串、随机指令、伪指令等多种场景,有效保证验证的全面性;该验证系统普遍适用于RFID标签芯片的验证领域,特别是ISO/IEC 18000-6C协议或GB/T 29768-2013协议,项目间移植方便;该验证系统支持多种配置模式,包括精简模式、自检模式和覆盖率模式,可以满足不同仿真场景需求。
附图说明
图1为本申请的实施例中一种RFID标签芯片验证系统的结构示意图;
图2为本申请的实施例中一种覆盖率驱动的受约束随机激励方案示意图;
图3为本申请的实施例中一种指令帧格式的示意图。
具体实施方式
下面结合附图和实施例对本申请作进一步的详细说明。可以理解的是,此处所描述的具体实施例仅仅用于解释相关发明,而非对该发明的限定。另外还需要说明的是,为了便于描述,附图中仅示出了与发明相关的部分。
需要说明的是,在不冲突的情况下,本申请中的实施例及实施例中的特征可以相互组合。下面将参考附图并结合实施例来详细说明本申请。
SystemVerilog是一种由Verilog演变而来的高级验证语言,它引入许多新的数据类型和语法,如:队列、动态数组、面向对象编程、受约束的随机化、功能覆盖率和断言等。为了进一步提高系统的可读性、可重用性,本申请的实施例中采用基于通用验证方法学(Universal Verification Methodology,简称UVM)来设计实现,有效缩短开发周期,提高验证效率。
图1为本申请的实施例中一种RFID标签芯片验证系统100的结构示意图。RFID标签芯片验证系统100包括:顶层(1),测试层(2),环境层(3)。
顶层(1),用于实现标签数字基带(15)和环境层(3)的例化,包括虚接口(10),通过虚接口(10)连接标签数字基带(15)和环境层(3)。
测试层(2),用于模拟标签数字基带(15)工作的各种激励场景,包括数字基带工作中正常操作、异常状态等所有场景。测试层(2)包括父类序列(6)和子类或嵌套序列(7),任意子类或嵌套序列(7)均由父类序列(6)派生或嵌套得到,子类或嵌套序列(7)与测试用例一一对应。子类或嵌套序列(7)包括创建场景的附加约束、被测设计的关键寄存器或错误插入使能标志等。依据场景划分,子类或嵌套序列(7)可分为单指令序列、功能序列、状态随机序列、错误注入序列等。在本申请的实施例中,子类或嵌套序列(7)支持单指令、随机指令流、或特定指令流中的一种或多种指令发送模式。
环境层(3),用于例化和连接各组件,构建适用于各个序列的通用验证环境,包括输入代理(4)、输出代理(5)、参考模型(12)、计分板(13)和功能覆盖率模块(14)。其中,输入代理(4)包括序列发生器(8)和驱动器(9),输出代理(5)包括监控器(11)。
序列发生器(8)接收子类或嵌套序列(7)发送的事务包,同时转发给驱动器(9),驱动器(9)依据协议规定的前向链路编码规则完成对指令帧的处理,编码数据通过虚接口(10)实时传递给标签数字基带(15),同时,驱动器(9)完成指令时序间隔控制、事务包转发任务。监控器(11)用于接收从标签数字基带(15)经虚接口(10)返回的响应数据包和和内部关键信号,内部关键信号指后续被用于判断结果的相关信号,包括内部关键寄存器在不同时刻的值,监控器(11)在驱动器(9)的控制下完成第一次采样所述内部关键寄存器在指令响应前的初始值用于参考模型(12)预测并生成理想事务包,第二次采样响应数据包和内部关键寄存器变化后的值,采样同时完成所述响应数据包的解码并将采集的实际事务包广播给驱动器(9)和计分板(13)。参考模型(12)传送所述理想事务包给计分板(13),计分板(13)通过比较理想事务包和实际事务包,检验标签数字基带(15)是否正确响应指令,驱动器(9)将实际事务包反馈给父类序列(6)帮助产生新的事务。其中,事务包是以指令为单位实现系统组件之间的传递。
RFID标签芯片验证系统100代理配置类和环境配置类,代理配置类实现输入代理、输出代理的参数配置,环境配置类实现环境的参数配置。
RFID标签芯片验证系统100的工作流程如下:
步骤101:启动测试用例,测试层(2)通过default_sequence机制在测试用例中启动子类或嵌套序列(7),所产生的事务包发送给输入代理(4),序列发生器(8)接收来自子类或嵌套序列(7)的事务包,同时转发给驱动器(9)。
步骤102:驱动器(9)依据协议规定的前向链路编码规则完成对指令帧的处理,编码数据通过虚接口(10)实时传递给标签数字基带(15)。同时,驱动器(9)完成指令时序间隔控制、事务包转发等任务。
步骤103:监控器(11)接收从标签数字基带(15)经虚接口(10)返回的响应数据包和内部关键信号,内部关键信号指后续被用于判断结果的相关信号,包括内部关键寄存器在不同时刻的值,具体地,在驱动器(9)的控制下,监控器(11)先后完成两次采样:第一次采样内部关键寄存器在指令响应前的初始值,用于参考模型(12)预测并生成理想事务包;第二次采样响应数据包和内部关键寄存器变化后的值,采样同时完成响应数据包的解码并将采集的实际事务包广播给驱动器(9)和计分板(13)。
步骤104:参考模型(12)通过监视器(11)第一次采样提供的事务包中指令帧、内部关键寄存器初始值,预测内部关键寄存器的理想值和可能的响应数据包进而生成理想事务包,并且传送给计分板(13)。具体地,参考模型(12)是基于SystemVerilog语言编写的标签数字基带行为级模型。
步骤105:计分板(13)通过比较参考模型(12)提供的理想事务包和监控器(11)提供的实际事务包,检验标签数字基带(15)是否正确响应指令。若响应指令正确,功能覆盖率模块(14)执行功能覆盖率的统计,否则功能覆盖率模块(14)不执行功能覆盖率的统计,并打印错误信息,可通过输出日志来快速定位系统漏洞。
步骤106:驱动器(9)将来自监控器(11)的实际事务包反馈给父类序列(6),帮助产生新的事务,返回步骤101,重复执行,直至达到指定循环次数。
RFID标签芯片验证系统100支持三种环境配置模式:
精简模式:仅提供激励而无需处理标签数字基带(15)返回的响应数据包,此模式下,环境层(3)仅包括输入代理(4)、输出代理(5);
自检模式:验证系统在运行中借助参考模型(12)预测理想响应结果,计分板(13)完成事务比较;此模式下,环境层(3)包括输入代理(4)、输出代理(5)、参考模型(12)、计分板(13);
覆盖率模式:支持自检测和覆盖率统计,此模式下,需要在自检模式基础上增加覆盖率模式模块(14)。
RFID标签芯片验证系统100通过层次化的设计有效地提高了系统的可重用性。
图2为本申请采用的覆盖率驱动的受约束随机激励方案示意图。
首先制定验证计划,通过新的随机种子和新的测试用例方案,尝试实现覆盖率收敛。具体地,进行覆盖率统计,判断芯片验证是否通过,若验证通过,则说明由所用到的随机种子、测试用例组成的随机测试向量集可以满足当前验证需求,保留相应的随机种子、测试用例信息,便于项目版本更新后的回归迭代;若验证不通过,则进行新的代码调试,例如:使用新的随机种子,引入新的测试用例等。此外,可以依据覆盖率统计结果修改验证计划,例如发掘遗漏的测试点等,这将引入新的测试用例,进而扩充最终的测试向量集。
在本申请的实施例中,采用功能覆盖率来衡量验证进度,还可以帮助开发者发掘遗漏的测试点,而且考虑到单条指令、指令串、随机指令、伪指令等多种场景,有效保证验证的全面性。受约束的随机化以事务为单位,在期望的约束范围内实现变量的随机,相较于传统验证方案的直接激励方式,覆盖率驱动的受约束随机激励方案,可以有效缩短开发周期,仅需较少的测试用例,即可以实现覆盖率的收敛,提高了验证效率。
图3所示为符合ISO/IEC 18000-6C协议和GB/T 29768-2013协议的指令帧格式。本申请的RFID标签芯片验证系统100对兼容ISO/IEC 18000-6C协议和/或GB/T 29768-2013协议的RFID标签芯片均适用。两种协议的指令帧包括命令代码、指令帧变量和CRC-16。不同指令的命令代码位宽不定,一般使用频繁的指令对应的命令代码位宽更短;同样的,不同指令的指令帧变量和位宽差异较大;CRC-16为可选的,部分指令不需要该项。
事务依据指令划分,事务包与指令一一对应。事务如何定义直接影响验证效率。事务中变量分为指令帧变量、公共配置参数、关键寄存器信号和响应数据包变量,在本申请的实施例中,使用field_automation机制完成变量登记,从而可以自动实现比较、打印、复制等常见操作;事务中方法包括指令帧格式解析、数据包解析、指令有效性检验、数据包有效性检验等。
本申请提供的RFID标签芯片验证系统,基于UVM方法学设计实现,采用覆盖率驱动的受约束随机激励的方案,可以大大减少所需测试用例的数目,显著缩短开发周期;该验证系统采用功能覆盖率衡量验证进度,且考虑到单条指令、指令串、随机指令、伪指令等多种场景,有效保证验证的全面性;该验证系统普遍适用于RFID标签芯片的验证领域,特别是ISO/IEC 18000-6C协议或GB/T 29768-2013协议,项目间移植方便;该验证系统支持多种配置模式,包括精简模式、自检模式和覆盖率模式,可以满足不同仿真场景需求。
以上所揭露的仅为本发明一种较佳实施例而已,当然不能以此来限定本发明之权利范围,本领域普通技术人员可以理解实现上述实施例的全部或部分流程,并依本发明权利要求所作的等同变化,仍属于发明所涵盖的范围。

Claims (7)

1.一种RFID标签芯片验证系统,其特征在于,所述RFID标签芯片验证系统包括:
顶层(1),用于实现标签数字基带(15)和环境层(3)的例化,包括虚接口(10),通过所述虚接口(10)连接所述标签数字基带(15)和所述环境层(3);
测试层(2),用于模拟所述标签数字基带(15)工作的各种激励场景,包括父类序列(6)和子类或嵌套序列(7),任意子类或嵌套序列(7)均由父类序列(6)派生或嵌套得到,进而发送事务包给环境层(3);
环境层(3),用于例化和连接各组件,构建适用于各个序列的通用验证环境,包括输入代理(4)、输出代理(5)、参考模型(12)、计分板(13)和功能覆盖率模块(14);
其中,所述输入代理(4)包括序列发生器(8)和驱动器(9),所述输出代理(5)包括监控器(11),所述序列发生器(8)接收所述子类或嵌套序列(7)发送的事务包,同时转发给所述驱动器(9),所述驱动器(9)依据协议规定的前向链路编码规则完成对指令帧的处理,编码数据通过所述虚接口(10)实时传递给所述标签数字基带(15),同时,所述驱动器(9)完成指令时序间隔控制、事务包转发任务,所述监控器(11)用于接收从标签数字基带(15)经虚接口(10)返回的响应数据包和内部关键信号,所述内部关键信号指后续被用于判断结果的相关信号,包括内部关键寄存器在不同时刻的值,所述监控器(11)在所述驱动器(9)的控制下完成第一次采样所述内部关键寄存器在指令响应前的初始值用于参考模型(12)预测并生成理想事务包,第二次采样响应数据包和内部关键寄存器变化后的值,采样同时完成所述响应数据包的解码并将采集的实际事务包广播给所述驱动器(9)和所述计分板(13),所述参考模型(12)传送所述理想事务包给所述计分板(13),所述计分板(13)通过比较所述理想事务包和实际事务包,检验标签数字基带(15)是否正确响应指令,若响应指令正确,所述功能覆盖率模块(14)执行功能覆盖率的统计,否则所述功能覆盖率模块(14)不执行功能覆盖率的统计,并打印错误信息,所述驱动器(9)将所述实际事务包反馈给所述父类序列(6)帮助产生新的事务;
代理配置类,实现所述输入代理(4)和所述输出代理(5)的参数配置;
环境配置类,实现环境的参数配置;
所述事务包是以指令为单位实现系统组件之间的传递;
所述子类或嵌套序列(7)支持单指令、随机指令流、或特定指令流中的一种或多种指令发送模式;
所述验证系统通过所述参考模型(12)和所述计分板(13)实现自动检测仿真结果,利用输出日志快速定位验证方案漏洞;
所述验证系统通过功能覆盖率模块(14)实现采用覆盖率驱动的受约束随机激励方案;
所述验证系统是基于UVM方法学设计实现;
所述测试层(2)通过default_sequence机制在测试用例中启动所述子类或嵌套序列(7),产生的事务包发送给所述输入代理(4)。
2.根据权利要求1所述的RFID标签芯片验证系统,其特征在于,所述事务包使用field_automation机制完成变量登记,可以自动实现比较、打印、复制操作。
3.根据权利要求1所述的RFID标签芯片验证系统,其特征在于,所述协议是ISO/IEC18000-6C协议或GB/T 29768-2013协议。
4.根据权利要求1所述的RFID标签芯片验证系统,其特征在于,所述参考模型(12)是基于SystemVerilog语言编写的标签数字基带行为级模型。
5.根据权利要求1所述的RFID标签芯片验证系统,其特征在于,所述验证系统支持三种环境配置模式:
精简模式:所述验证系统仅提供激励而无需处理所述标签数字基带(15)返回的响应数据包,所述环境层(3)仅包括输入代理(4)和输出代理(5);
自检模式:所述验证系统在运行中借助参考模型(12)预测理想响应结果,计分板(13)完成事务比较,所述环境层(3)包括输入代理(4)、输出代理(5)、参考模型(12)和计分板(13);
覆盖率模式:所述验证系统支持自检测和覆盖率统计,在所述自检模式基础上增加覆盖率模式模块(14)。
6.根据权利要求1所述的RFID标签芯片验证系统,其特征在于,所述子类或嵌套序列(7)包括创建场景的附加约束、被测设计的关键寄存器或错误插入使能标志,依据场景划分,所述子类或嵌套序列(7)可分为单指令序列、功能序列、状态随机序列、错误注入序列。
7.根据权利要求1所述的RFID标签芯片验证系统,其特征在于,所述验证系统可通过所述功能覆盖率模块(14)的输出日志快速定位系统漏洞。
CN202010807027.7A 2020-08-12 2020-08-12 一种rfid标签芯片验证系统 Active CN112084802B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202010807027.7A CN112084802B (zh) 2020-08-12 2020-08-12 一种rfid标签芯片验证系统

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202010807027.7A CN112084802B (zh) 2020-08-12 2020-08-12 一种rfid标签芯片验证系统

Publications (2)

Publication Number Publication Date
CN112084802A CN112084802A (zh) 2020-12-15
CN112084802B true CN112084802B (zh) 2023-06-02

Family

ID=73727848

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202010807027.7A Active CN112084802B (zh) 2020-08-12 2020-08-12 一种rfid标签芯片验证系统

Country Status (1)

Country Link
CN (1) CN112084802B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113626343B (zh) * 2021-10-12 2022-04-22 中科南京智能技术研究院 一种基于UVM的Router可重用验证平台
CN114330625B (zh) * 2021-11-18 2024-01-23 北京智芯微电子科技有限公司 无源射频标签验证系统及其控制方法
CN115168241B (zh) * 2022-09-08 2022-11-29 济南新语软件科技有限公司 一种基于组合功能覆盖率的测试方法和系统

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103198341A (zh) * 2013-04-09 2013-07-10 广州中大微电子有限公司 Rfid标签芯片验证系统及验证方法
WO2016197768A1 (zh) * 2016-01-04 2016-12-15 中兴通讯股份有限公司 芯片验证方法、装置及系统

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8832622B1 (en) * 2011-11-23 2014-09-09 Marvell International Ltd. Coverage scoreboard
CN104461810B (zh) * 2014-11-14 2018-04-10 深圳市芯海科技有限公司 一种提高嵌入式处理器功能验证效率的方法
CN106294895B (zh) * 2015-05-19 2019-11-12 上海华虹集成电路有限责任公司 Hdcp转发器控制器模块级功能验证方法及验证环境平台
CN105893202A (zh) * 2016-04-26 2016-08-24 浪潮(北京)电子信息产业有限公司 一种基于uvm的存储控制器功能测试方法及系统
CN206921077U (zh) * 2017-05-23 2018-01-23 北京同方微电子有限公司 一种基于uvm的寄存器验证模型自动生成装置
CN107463473B (zh) * 2017-09-01 2023-06-27 珠海泰芯半导体有限公司 基于uvm和fpga的芯片软硬件仿真环境
CN109739699A (zh) * 2018-11-06 2019-05-10 电子科技大学 一种基于uvm验证方法学的spi验证方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103198341A (zh) * 2013-04-09 2013-07-10 广州中大微电子有限公司 Rfid标签芯片验证系统及验证方法
WO2016197768A1 (zh) * 2016-01-04 2016-12-15 中兴通讯股份有限公司 芯片验证方法、装置及系统

Also Published As

Publication number Publication date
CN112084802A (zh) 2020-12-15

Similar Documents

Publication Publication Date Title
CN112084802B (zh) 一种rfid标签芯片验证系统
CN101093521B (zh) 一种fpga仿真装置及其方法
US7127649B2 (en) Smartcard test system and related methods
US7424416B1 (en) Interfacing hardware emulation to distributed simulation environments
CN115828839A (zh) 一种soc芯片系统级验证系统及方法
CN114036013B (zh) 一种基于uvm的应答器芯片多模块同步验证平台和验证方法
CN115952758B (zh) 芯片验证方法、装置、电子设备及存储介质
US20090240457A1 (en) Testing in a hardware emulation environment
CN111522734A (zh) 软件功能测试方法、装置、电子设备及存储介质
CN112069074A (zh) 一种基于uvm的rfid标签芯片验证装置
CN109885905B (zh) 一种提高数字电路功能验证效率的验证系统
CN115496018A (zh) 一种SoC芯片多版本验证方法、装置及设备
CN115048888A (zh) 集成电路验证方法、装置、仿真系统、电子设备及介质
CN114757135A (zh) 一种基于需求驱动验证的可编程逻辑器件验证方法及系统
CN116775394B (zh) 芯片验证方法、装置、设备、存储介质及计算机程序产品
CN117407301A (zh) Fpga软核的程序调试方法、验证方法、系统、计算机设备及存储介质
CN116956801A (zh) 芯片验证方法、装置、计算机设备和存储介质
CN116560931A (zh) 一种芯片验证平台和方法、电子设备、存储介质
CN116306479A (zh) 基于uvm的以太网phy通用验证平台及验证方法
CN114880973A (zh) 一种基于uvm的rfid数字基带验证平台及方法
US20160224456A1 (en) Method for verifying generated software, and verifying device for carrying out such a method
CN114780143A (zh) 基于uvm的can控制器激励序列生成方法、装置和验证平台
US7020600B2 (en) Apparatus and method for improvement of communication between an emulator unit and a host device
CN113496108A (zh) 一种应用于仿真的cpu模型
CN109542707A (zh) 基于寄存器的测试代码生成方法及系统

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant