CN112083897A - 一种数字逻辑设计中信号声明系统、方法、设备及介质 - Google Patents

一种数字逻辑设计中信号声明系统、方法、设备及介质 Download PDF

Info

Publication number
CN112083897A
CN112083897A CN202010750728.1A CN202010750728A CN112083897A CN 112083897 A CN112083897 A CN 112083897A CN 202010750728 A CN202010750728 A CN 202010750728A CN 112083897 A CN112083897 A CN 112083897A
Authority
CN
China
Prior art keywords
signals
declared
signal
module
design
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202010750728.1A
Other languages
English (en)
Inventor
赵鑫鑫
姜凯
刘强
金长新
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Jinan Inspur Hi Tech Investment and Development Co Ltd
Original Assignee
Jinan Inspur Hi Tech Investment and Development Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Jinan Inspur Hi Tech Investment and Development Co Ltd filed Critical Jinan Inspur Hi Tech Investment and Development Co Ltd
Priority to CN202010750728.1A priority Critical patent/CN112083897A/zh
Publication of CN112083897A publication Critical patent/CN112083897A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F3/00Input arrangements for transferring data to be processed into a form capable of being handled by the computer; Output arrangements for transferring data from processing unit to output unit, e.g. interface arrangements
    • G06F3/12Digital output to print unit, e.g. line printer, chain printer
    • G06F3/1201Dedicated interfaces to print systems
    • G06F3/1278Dedicated interfaces to print systems specifically adapted to adopt a particular infrastructure
    • G06F3/1279Controller construction, e.g. aspects of the interface hardware
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F13/00Interconnection of, or transfer of information or other signals between, memories, input/output devices or central processing units
    • G06F13/38Information transfer, e.g. on bus
    • G06F13/42Bus transfer protocol, e.g. handshake; Synchronisation
    • G06F13/4204Bus transfer protocol, e.g. handshake; Synchronisation on a parallel bus
    • G06F13/4221Bus transfer protocol, e.g. handshake; Synchronisation on a parallel bus being an input/output bus, e.g. ISA bus, EISA bus, PCI bus, SCSI bus
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F3/00Input arrangements for transferring data to be processed into a form capable of being handled by the computer; Output arrangements for transferring data from processing unit to output unit, e.g. interface arrangements
    • G06F3/12Digital output to print unit, e.g. line printer, chain printer
    • G06F3/1201Dedicated interfaces to print systems
    • G06F3/1223Dedicated interfaces to print systems specifically adapted to use a particular technique
    • G06F3/1236Connection management
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F8/00Arrangements for software engineering
    • G06F8/40Transformation of program code
    • G06F8/41Compilation
    • G06F8/42Syntactic analysis

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Human Computer Interaction (AREA)
  • Software Systems (AREA)
  • Facsimiles In General (AREA)

Abstract

本申请公开了一种数字逻辑设计中信号声明系统、方法、设备及介质,系统包括:设计模块,包括相应的编写语句;文件索引列表,包括各设计模块对应的文件名;自动声明脚本,通过注释语句对该设计模块中的编写语句进行检索,确定所有待声明信号;并对检索到的待声明信号进行声明。通过设计模块中的注释语句,可以在使用自动声明脚本能够对所有的待声明信号进行准确定位,并基于注释语句中的数据位宽进行声明,保证了在声明信号时数据位宽的准确性。对设计工程中的各设计模块的待声明信号进行自动声明,减少了开发人员的工作量。并且当更改设计模块中的相关设计时,只需要重新运行自动声明脚本,即可完成对各信号的声明,十分便利。

Description

一种数字逻辑设计中信号声明系统、方法、设备及介质
技术领域
本申请涉及信号声明领域,具体涉及一种数字逻辑设计中信号声明系统、方法、设备及介质。
背景技术
目前,电子信息技术产业发展迅速,对于专用芯片的性能要求越来越高,在集成电路制技术迅速进步的同时,集成电路的复杂度呈指数增加,数字逻辑设计规模越来越大,信号数量增长迅速,因此,人工编写各设计模块中的信号声明变得费时费力,非常容易出现漏声明,声明位宽不正确等问题。
发明内容
为了解决上述问题,本申请提出了一种数字逻辑设计中信号声明系统,包括:设计模块,包括相应的编写语句,所述编写语句包括模块IO端口信号、赋值语句、子模块IO端口信号、注释语句,所述注释语句标明所在行的待声明信号的数据位宽;文件索引列表,包括各所述设计模块对应的文件名;自动声明脚本,针对所述文件索引列表中所包含的每个所述设计模块,通过所述注释语句对该设计模块中的所述编写语句进行检索,确定所有所述待声明信号;并对检索到的所述待声明信号进行声明。
在一个示例中,所述自动声明脚本包括:检索分类模块,通过所述注释语句对所述设计模块中的所述编写语句进行检索,确定所有所述待声明信号;并对所述待声明信号进行分类;信号剔除模块,基于所述待声明信号的分类,剔除重复的所述待声明信号;信号声明打印模块,对进行剔除后剩余的所述待声明信号进行打印输出至所述设计模块对应的文件中,以完成针对所述待声明信号的信号声明。
在一个示例中,对所述待声明信号进行分类时,所述待声明信号的类别包括:所述模块IO端口信号、时序逻辑被赋值信号、组合逻辑被赋值信号、所述子模块IO端口信号;所述信号剔除模块,将所述时序逻辑被赋值信号、所述组合逻辑被赋值信号、所述子模块IO端口信号对应类别中重复的所述模块IO端口信号剔除;将所述子模块IO端口信息对应类别中重复的所述时序逻辑被赋值信号、所述组合逻辑被赋值信号剔除;将所述时序逻辑被赋值信号、所述组合逻辑被赋值信号对应类别中的剩余重复信号剔除。
在一个示例中,所述信号声明打印模块,按照预设规则对剩余的所述待声明信号进行打印输出至所述设计模块对应的文件的IO端口列表中,以完成针对所述待声明信号的信号声明,所述预设规则包括将所述时序逻辑被赋值信号打印为寄存器REG类型、将所述组合逻辑被赋值信号打印为线网WIRE类型、将所述子模块IO端口信号打印为线网WIRE类型。
另一方面,本申请还提出了一种数字逻辑设计中信号声明方法,应用在如上述任意一个示例所述的系统中,所述方法包括:自动声明脚本通过文件索引列表确定各设计模块,并将每个所述设计模块中所包含待声明信号进行声明;将每个所述设计模块中所包含待声明信号进行声明,包括:通过所述设计模块中预先编写的注释语句,对所述设计模块中的编写语句进行检索,确定所有所述待声明信号,所述编写语句包括模块IO端口信号、赋值语句、子模块IO端口信号、所述注释语句,所述注释语句标明所在行的待声明信号的数据位宽;对检索到的所述待声明信号进行声明。
在一个示例中,通过所述设计模块中预先编写的注释语句,对所述设计模块中的编写语句进行检索,确定所有待声明信号之后,所述方法还包括:对所述待声明信号进行分类;基于所述待声明信号的分类,剔除重复的所述待声明信号;对检索到的所述待声明信号进行声明,包括:对进行剔除后剩余的所述待声明信号进行打印输出至所述设计模块对应的文件中,以完成针对所述待声明信号的信号声明。
在一个示例中,对所述待声明信号进行分类时,所述待声明信号的类别包括:所述模块IO端口信号、时序逻辑被赋值信号、组合逻辑被赋值信号、所述子模块IO端口信号;基于所述待声明信号的分类,剔除重复的所述待声明信号,包括:将所述时序逻辑被赋值信号、所述组合逻辑被赋值信号、所述子模块IO端口信号对应类别中重复的所述模块IO端口信号剔除;将所述子模块IO端口信息对应类别中重复的所述时序逻辑被赋值信号、所述组合逻辑被赋值信号剔除;将所述时序逻辑被赋值信号、所述组合逻辑被赋值信号对应类别中的剩余重复信号剔除。
在一个示例中,对进行剔除后剩余的所述待声明信号进行打印输出至所述设计模块对应的文件中,以完成针对所述待声明信号的信号声明,包括:按照预设规则对剩余的所述待声明信号进行打印输出至所述设计模块对应的文件的IO端口列表中,以完成针对所述待声明信号的信号声明,所述预设规则包括将所述时序逻辑被赋值信号打印为寄存器REG类型、将所述组合逻辑被赋值信号打印为线网WIRE类型、将所述子模块IO端口信号打印为线网WIRE类型。
另一方面,本申请还提出了一种数字逻辑设计中信号声明设备,应用在如上述任意一个示例所述的系统中,所述设备包括:至少一个处理器;以及,与所述至少一个处理器通信连接的存储器;其中,所述存储器存储有可被所述至少一个处理器执行的指令,所述指令被所述至少一个处理器执行,以使所述至少一个处理器能够执行:自动声明脚本通过文件索引列表确定各设计模块,并将每个所述设计模块中所包含待声明信号进行声明;将每个所述设计模块中所包含待声明信号进行声明,包括:通过所述设计模块中预先编写的注释语句,对所述设计模块中的编写语句进行检索,确定所有所述待声明信号,所述编写语句包括模块IO端口信号、赋值语句、子模块IO端口信号、所述注释语句,所述注释语句标明所在行的待声明信号的数据位宽;对检索到的所述待声明信号进行声明。
另一方面,本申请还提出了一种数字逻辑设计中信号声明的非易失性计算机存储介质,存储有计算机可执行指令,应用在如上述任意一个示例所述的系统中,所述计算机可执行指令设置为:自动声明脚本通过文件索引列表确定各设计模块,并将每个所述设计模块中所包含待声明信号进行声明;将每个所述设计模块中所包含待声明信号进行声明,包括:通过所述设计模块中预先编写的注释语句,对所述设计模块中的编写语句进行检索,确定所有所述待声明信号,所述编写语句包括模块IO端口信号、赋值语句、子模块IO端口信号、所述注释语句,所述注释语句标明所在行的待声明信号的数据位宽;对检索到的所述待声明信号进行声明。
通过本申请提出一种数字逻辑设计中信号声明系统能够带来如下有益效果:
通过设计模块中的注释语句,可以在使用自动声明脚本能够对所有的待声明信号进行准确定位,并基于注释语句中的数据位宽进行声明,保证了在声明信号时数据位宽的准确性。而通过自动声明脚本以及文件索引列表的配合,能够对设计工程中的各设计模块的待声明信号进行自动声明,减少了开发人员的工作量。并且当想要更改设计模块中的相关设计时,只需要重新运行自动声明脚本,即可完成对各信号的声明,十分便利。
附图说明
此处所说明的附图用来提供对本申请的进一步理解,构成本申请的一部分,本申请的示意性实施例及其说明用于解释本申请,并不构成对本申请的不当限定。在附图中:
图1为本申请实施例中数字逻辑设计中信号声明方法的流程示意图;
图2为本申请实施例中数字逻辑设计中信号声明设备的示意图。
具体实施方式
为使本申请的目的、技术方案和优点更加清楚,下面将结合本申请具体实施例及相应的附图对本申请技术方案进行清楚、完整地描述。显然,所描述的实施例仅是本申请一部分实施例,而不是全部的实施例。基于本申请中的实施例,本领域普通技术人员在没有做出创造性劳动前提下所获得的所有其他实施例,都属于本申请保护的范围。
以下结合附图,详细说明本申请各实施例提供的技术方案。
如图1所示,本申请实施例提供一种数字逻辑设计中信号声明系统,包括:设计模块、文件索引列表、自动声明脚本。
其中,数字逻辑设计主要指的是与数字电路相关的设计工程,例如通过数字电路设计的芯片、电路等。在设计工程的过程中通常包含有相应的逻辑运算,在逻辑运算中通常包含有相应的变量,而在设计工程中的各信号则是属于变量的一种。而在调用信号之前,需要先对信号进行声明,即在信号需要调用内存空间时,需要对内存发出类似于“占位”的指令。在本申请实施例中,主要针对的是各信号的声明,但是本领域技术人员应该清楚,也可以将本申请实施例所述的系统、方法等应用在其他的变量声明中,在此不再赘述。
设计模块,指的是开发人员在进行设计工程的过程中,所设计的各个具有相应功能的模块,在此不对设计模块所起到的功能做限定。而在设计模块的过程中,开发人员可以采用硬件描述语言进行模块设计,硬件描述语言(Hardware Description Language,简称:HDL)是电子系统硬件行为描述、结构描述、数据流描述的语言。硬件描述语言包括VHDL、Verilog HDL等。
在通过硬件描述语言进行模块设计的过程中,开发人员需要遵循特定的书写规则进行语句编写,此时在设计模块中会出现符合该书写规则的编写语句。编写语句可以包括模块IO端口信号、赋值语句、子模块IO端口信号。其中,模块IO端口信号指的是设计模块本身对应的端口信号,该信号可以包括端口输入信号、端口输出信号。而赋值语句则是对信号进行赋值的语句,以Verilog为例,其中包括两种类型的赋值语句,分别是连续赋值语句和过程赋值语句。在设计模块中通常会存在若干个子模块,设计模块作为顶层模块,需要与子模块建立连接后,才能够调用各子模块。而进行连接的方式,就是将子模块进行实例化。通过实例化声明,将子模块进行声明,将子模块与设计模块建立连接,在此将实例化声明中的IO端口信号称作子模块IO端口信号,即该子模块端口对应的输入信号、输出信号。
而为了能够使信号在声明的过程中能够更加便利,而且使得信号声明的数据位宽能够更加准确,可以在编写语句中添加相应的注释语句。具体可以在需要进行声明的信号(在此称作待声明信号)所在行处添加相应的注释语句,注释语句表示所在行的信号为待声明信号,且注释语句中还可以标明待声明信号的数据位宽,以便于后续更准确进行声明。
文件索引列表,包含有各设计模块对应的文件名。在设计工程的过程中,通常包含有若干个设计模块,此时可以将所有设计模块的文件名按照特定格式编写成设计文件索引列表,并保存为文本文件,以便于后续的设计模块索引。
自动声明脚本,可以由开发人员编写形成,主要用于在设计模块中,通过注释语句进行定位,来对编写语句进行检索,确定在该设计模块中的所有待声明信号,然后将检索到的所有待声明信号根据自动声明脚本中进行自动声明。
具体地,自动声明脚本可以包括检索分类模块、信号剔除模块、信号声明打印模块。
检索分类模块,用于通过注释语句进行定位,将设计模块中的所有待声明信号检索出来。此时待声明信号中包含有所有的模块IO端口信号、被赋值语句对应的被赋值信号、子模块IO端口信号。在将所有的待声明信号都检索出来后,为了便于后续的声明过程,可以先进行分类。具体在进行分类时,可以根据待声明信号的编写格式、编写内容进行分类,分类可以划分成四个类别,分别是模块IO端口信号、模块IO端口信号、被赋值语句对应的被赋值信号、子模块IO端口信号。
信号剔除模块,基于已经分类完毕的待声明信号,将重复的待声明信号进行剔除。由于在实际的分类过程中,对于同样一个信号,可能会在进行分类的过程中,将其分至多个类别中。因此,可以通过信号剔除模块将重复的待声明信号进行剔除。
具体在剔除过程中,可以首先将将时序逻辑被赋值信号、组合逻辑被赋值信号、子模块IO端口信号对应类别中重复的模块IO端口信号剔除,然后将子模块IO端口信息对应类别中重复的时序逻辑被赋值信号、组合逻辑被赋值信号剔除,最后将时序逻辑被赋值信号、组合逻辑被赋值信号对应类别中的剩余重复信号剔除。然后将剩余的信号存储在数据结构中,以此完成了对重复信号的剔除。
信号声明打印模块,在将所有的待声明信号中的重复信号进行剔除后,可以对剩余的待声明信号进行打印,输出至设计模块对应的文件中,即可完成针对待声明信号的信号声明。
具体在打印声明的过程中,可以按照预设规则对剩余的待声明信号进行打印,并输出至设计模块对应的文件的IO端口列表中,以此完成对待声明信号的信号声明。其中,预设规则包括将时序逻辑被赋值信号打印为寄存器REG类型、将组合逻辑被赋值信号打印为线网WIRE类型、将子模块IO端口信号打印为线网WIRE类型。
当想要更改设计模块中的相关设计时,只需要重新运行自动声明脚本,即可完成对各信号的声明。
如图1所示,本申请实施例还提供了了一种数字逻辑设计中信号声明方法,应用在如上述任意一个实施例所述的系统中,所述方法包括:
S101、自动声明脚本通过文件索引列表确定各设计模块,并将每个所述设计模块中所包含待声明信号进行声明。
在对设计工程中的各设计模块进行信号声明之前,需要开发人员预先编写相应的自动声明脚本以及文件索引列表,自动声明脚本与文件索引列表在上述实施例中已经描述,在此不再赘述。
当需要进行信号声明时,用户可以通过运行自动声明脚本,自动声明脚本对文件索引列表中的各设计模块中所包含的待声明信号进行声明。具体地声明过程如下述步骤S102与S103所述。
S102、通过所述设计模块中预先编写的注释语句,对所述设计模块中的编写语句进行检索,确定所有所述待声明信号,所述编写语句包括模块IO端口信号、赋值语句、子模块IO端口信号、所述注释语句,所述注释语句标明所在行的待声明信号的数据位宽。
S103、对检索到的所述待声明信号进行声明。
通过在设计模块中预先编写的注释语句,对设计模块中的各待声明信号进行检索,并进行分类。编写语句中包括模块IO端口信号、赋值语句、子模块IO端口信号、注释语句,注释语句标明所在行的待声明信号的数据位宽。
具体地,对待声明信号进行检索分类的过程,在上述系统的检索分类模块对应的实施例中已经进行描述,在此不再赘述。而在进行检索分类后,需要对重复的信号进行剔除,剔除的过程在上述系统的信号剔除模块对应的实施例中已经进行描述,在此不再赘述。最后将剔除后剩余的信号进行打印输出,打印输出过程已经在上述系统的信号声明打印模块中进行描述,在此不再赘述。
如图2所示,本申请实施例还提供了一种数字逻辑设计中信号声明设备,应用在如上述任意一个实施例所述的系统中,所述设备包括:
至少一个处理器;以及,
与所述至少一个处理器通信连接的存储器;其中,
所述存储器存储有可被所述至少一个处理器执行的指令,所述指令被所述至少一个处理器执行,以使所述至少一个处理器能够执行:
自动声明脚本通过文件索引列表确定各设计模块,并将每个所述设计模块中所包含待声明信号进行声明;
将每个所述设计模块中所包含待声明信号进行声明,包括:
通过所述设计模块中预先编写的注释语句,对所述设计模块中的编写语句进行检索,确定所有所述待声明信号,所述编写语句包括模块IO端口信号、赋值语句、子模块IO端口信号、所述注释语句,所述注释语句标明所在行的待声明信号的数据位宽;
对检索到的所述待声明信号进行声明。
本申请实施例还提供了一种数字逻辑设计中信号声明的非易失性计算机存储介质,存储有计算机可执行指令,应用在如上述任意一个实施例所述的系统中,所述计算机可执行指令设置为:
自动声明脚本通过文件索引列表确定各设计模块,并将每个所述设计模块中所包含待声明信号进行声明;
将每个所述设计模块中所包含待声明信号进行声明,包括:
通过所述设计模块中预先编写的注释语句,对所述设计模块中的编写语句进行检索,确定所有所述待声明信号,所述编写语句包括模块IO端口信号、赋值语句、子模块IO端口信号、所述注释语句,所述注释语句标明所在行的待声明信号的数据位宽;
对检索到的所述待声明信号进行声明。
本申请中的各个实施例均采用递进的方式描述,各个实施例之间相同相似的部分互相参见即可,每个实施例重点说明的都是与其他实施例的不同之处。尤其,对于设备和介质实施例而言,由于其基本相似于方法实施例,所以描述的比较简单,相关之处参见方法实施例的部分说明即可。
本申请实施例提供的设备和介质与方法是一一对应的,因此,设备和介质也具有与其对应的方法类似的有益技术效果,由于上面已经对方法的有益技术效果进行了详细说明,因此,这里不再赘述设备和介质的有益技术效果。
本领域内的技术人员应明白,本申请的实施例可提供为方法、系统、或计算机程序产品。因此,本申请可采用完全硬件实施例、完全软件实施例、或结合软件和硬件方面的实施例的形式。而且,本申请可采用在一个或多个其中包含有计算机可用程序代码的计算机可用存储介质(包括但不限于磁盘存储器、CD-ROM、光学存储器等)上实施的计算机程序产品的形式。
本申请是参照根据本申请实施例的方法、设备(系统)、和计算机程序产品的流程图和/或方框图来描述的。应理解可由计算机程序指令实现流程图和/或方框图中的每一流程和/或方框、以及流程图和/或方框图中的流程和/或方框的结合。可提供这些计算机程序指令到通用计算机、专用计算机、嵌入式处理机或其他可编程数据处理设备的处理器以产生一个机器,使得通过计算机或其他可编程数据处理设备的处理器执行的指令产生用于实现在流程图一个流程或多个流程和/或方框图一个方框或多个方框中指定的功能的装置。
这些计算机程序指令也可存储在能引导计算机或其他可编程数据处理设备以特定方式工作的计算机可读存储器中,使得存储在该计算机可读存储器中的指令产生包括指令装置的制造品,该指令装置实现在流程图一个流程或多个流程和/或方框图一个方框或多个方框中指定的功能。
这些计算机程序指令也可装载到计算机或其他可编程数据处理设备上,使得在计算机或其他可编程设备上执行一系列操作步骤以产生计算机实现的处理,从而在计算机或其他可编程设备上执行的指令提供用于实现在流程图一个流程或多个流程和/或方框图一个方框或多个方框中指定的功能的步骤。
在一个典型的配置中,计算设备包括一个或多个处理器(CPU)、输入/输出接口、网络接口和内存。
内存可能包括计算机可读介质中的非永久性存储器,随机存取存储器(RAM)和/或非易失性内存等形式,如只读存储器(ROM)或闪存(flash RAM)。内存是计算机可读介质的示例。
计算机可读介质包括永久性和非永久性、可移动和非可移动媒体可以由任何方法或技术来实现信息存储。信息可以是计算机可读指令、数据结构、程序的模块或其他数据。计算机的存储介质的例子包括,但不限于相变内存(PRAM)、静态随机存取存储器(SRAM)、动态随机存取存储器(DRAM)、其他类型的随机存取存储器(RAM)、只读存储器(ROM)、电可擦除可编程只读存储器(EEPROM)、快闪记忆体或其他内存技术、只读光盘只读存储器(CD-ROM)、数字多功能光盘(DVD)或其他光学存储、磁盒式磁带,磁带磁磁盘存储或其他磁性存储设备或任何其他非传输介质,可用于存储可以被计算设备访问的信息。按照本文中的界定,计算机可读介质不包括暂存电脑可读媒体(transitory media),如调制的数据信号和载波。
还需要说明的是,术语“包括”、“包含”或者其任何其他变体意在涵盖非排他性的包含,从而使得包括一系列要素的过程、方法、商品或者设备不仅包括那些要素,而且还包括没有明确列出的其他要素,或者是还包括为这种过程、方法、商品或者设备所固有的要素。在没有更多限制的情况下,由语句“包括一个……”限定的要素,并不排除在包括所述要素的过程、方法、商品或者设备中还存在另外的相同要素。
以上所述仅为本申请的实施例而已,并不用于限制本申请。对于本领域技术人员来说,本申请可以有各种更改和变化。凡在本申请的精神和原理之内所作的任何修改、等同替换、改进等,均应包含在本申请的权利要求范围之内。

Claims (10)

1.一种数字逻辑设计中信号声明系统,其特征在于,包括:
设计模块,包括相应的编写语句,所述编写语句包括模块IO端口信号、赋值语句、子模块IO端口信号、注释语句,所述注释语句标明所在行的待声明信号的数据位宽;
文件索引列表,包括各所述设计模块对应的文件名;
自动声明脚本,针对所述文件索引列表中所包含的每个所述设计模块,通过所述注释语句对该设计模块中的所述编写语句进行检索,确定所有所述待声明信号;并对检索到的所述待声明信号进行声明。
2.根据权利要求1所述的系统,其特征在于,所述自动声明脚本包括:
检索分类模块,通过所述注释语句对所述设计模块中的所述编写语句进行检索,确定所有所述待声明信号;并对所述待声明信号进行分类;
信号剔除模块,基于所述待声明信号的分类,剔除重复的所述待声明信号;
信号声明打印模块,对进行剔除后剩余的所述待声明信号进行打印输出至所述设计模块对应的文件中,以完成针对所述待声明信号的信号声明。
3.根据权利要求2所述的系统,其特征在于,对所述待声明信号进行分类时,所述待声明信号的类别包括:所述模块IO端口信号、时序逻辑被赋值信号、组合逻辑被赋值信号、所述子模块IO端口信号;
所述信号剔除模块,将所述时序逻辑被赋值信号、所述组合逻辑被赋值信号、所述子模块IO端口信号对应类别中重复的所述模块IO端口信号剔除;
将所述子模块IO端口信息对应类别中重复的所述时序逻辑被赋值信号、所述组合逻辑被赋值信号剔除;
将所述时序逻辑被赋值信号、所述组合逻辑被赋值信号对应类别中的剩余重复信号剔除。
4.根据权利要求3所述的系统,其特征在于,所述信号声明打印模块,按照预设规则对剩余的所述待声明信号进行打印输出至所述设计模块对应的文件的IO端口列表中,以完成针对所述待声明信号的信号声明,所述预设规则包括将所述时序逻辑被赋值信号打印为寄存器REG类型、将所述组合逻辑被赋值信号打印为线网WIRE类型、将所述子模块IO端口信号打印为线网WIRE类型。
5.一种数字逻辑设计中信号声明方法,其特征在于,应用在如权利要求1-4中任意一项权利要求所述的系统中,所述方法包括:
自动声明脚本通过文件索引列表确定各设计模块,并将每个所述设计模块中所包含待声明信号进行声明;
将每个所述设计模块中所包含待声明信号进行声明,包括:
通过所述设计模块中预先编写的注释语句,对所述设计模块中的编写语句进行检索,确定所有所述待声明信号,所述编写语句包括模块IO端口信号、赋值语句、子模块IO端口信号、所述注释语句,所述注释语句标明所在行的待声明信号的数据位宽;
对检索到的所述待声明信号进行声明。
6.根据权利要求5所述的方法,其特征在于,通过所述设计模块中预先编写的注释语句,对所述设计模块中的编写语句进行检索,确定所有待声明信号之后,所述方法还包括:
对所述待声明信号进行分类;
基于所述待声明信号的分类,剔除重复的所述待声明信号;
对检索到的所述待声明信号进行声明,包括:
对进行剔除后剩余的所述待声明信号进行打印输出至所述设计模块对应的文件中,以完成针对所述待声明信号的信号声明。
7.根据权利要求6所述的方法,其特征在于,对所述待声明信号进行分类时,所述待声明信号的类别包括:所述模块IO端口信号、时序逻辑被赋值信号、组合逻辑被赋值信号、所述子模块IO端口信号;
基于所述待声明信号的分类,剔除重复的所述待声明信号,包括:
将所述时序逻辑被赋值信号、所述组合逻辑被赋值信号、所述子模块IO端口信号对应类别中重复的所述模块IO端口信号剔除;
将所述子模块IO端口信息对应类别中重复的所述时序逻辑被赋值信号、所述组合逻辑被赋值信号剔除;
将所述时序逻辑被赋值信号、所述组合逻辑被赋值信号对应类别中的剩余重复信号剔除。
8.根据权利要求7所述的方法,其特征在于,对进行剔除后剩余的所述待声明信号进行打印输出至所述设计模块对应的文件中,以完成针对所述待声明信号的信号声明,包括:
按照预设规则对剩余的所述待声明信号进行打印输出至所述设计模块对应的文件的IO端口列表中,以完成针对所述待声明信号的信号声明,所述预设规则包括将所述时序逻辑被赋值信号打印为寄存器REG类型、将所述组合逻辑被赋值信号打印为线网WIRE类型、将所述子模块IO端口信号打印为线网WIRE类型。
9.一种数字逻辑设计中信号声明设备,其特征在于,应用在如权利要求1-4中任意一项权利要求所述的系统中,所述设备包括:
至少一个处理器;以及,
与所述至少一个处理器通信连接的存储器;其中,
所述存储器存储有可被所述至少一个处理器执行的指令,所述指令被所述至少一个处理器执行,以使所述至少一个处理器能够执行:
自动声明脚本通过文件索引列表确定各设计模块,并将每个所述设计模块中所包含待声明信号进行声明;
将每个所述设计模块中所包含待声明信号进行声明,包括:
通过所述设计模块中预先编写的注释语句,对所述设计模块中的编写语句进行检索,确定所有所述待声明信号,所述编写语句包括模块IO端口信号、赋值语句、子模块IO端口信号、所述注释语句,所述注释语句标明所在行的待声明信号的数据位宽;
对检索到的所述待声明信号进行声明。
10.一种数字逻辑设计中信号声明的非易失性计算机存储介质,存储有计算机可执行指令,其特征在于,应用在如权利要求1-4中任意一项权利要求所述的系统中,所述计算机可执行指令设置为:
自动声明脚本通过文件索引列表确定各设计模块,并将每个所述设计模块中所包含待声明信号进行声明;
将每个所述设计模块中所包含待声明信号进行声明,包括:
通过所述设计模块中预先编写的注释语句,对所述设计模块中的编写语句进行检索,确定所有所述待声明信号,所述编写语句包括模块IO端口信号、赋值语句、子模块IO端口信号、所述注释语句,所述注释语句标明所在行的待声明信号的数据位宽;
对检索到的所述待声明信号进行声明。
CN202010750728.1A 2020-07-30 2020-07-30 一种数字逻辑设计中信号声明系统、方法、设备及介质 Pending CN112083897A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202010750728.1A CN112083897A (zh) 2020-07-30 2020-07-30 一种数字逻辑设计中信号声明系统、方法、设备及介质

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN202010750728.1A CN112083897A (zh) 2020-07-30 2020-07-30 一种数字逻辑设计中信号声明系统、方法、设备及介质

Publications (1)

Publication Number Publication Date
CN112083897A true CN112083897A (zh) 2020-12-15

Family

ID=73734794

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202010750728.1A Pending CN112083897A (zh) 2020-07-30 2020-07-30 一种数字逻辑设计中信号声明系统、方法、设备及介质

Country Status (1)

Country Link
CN (1) CN112083897A (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113542381A (zh) * 2021-07-06 2021-10-22 广州小鹏自动驾驶科技有限公司 一种消息帧处理方法和装置
CN115185486A (zh) * 2022-09-13 2022-10-14 紫光同芯微电子有限公司 用于生成asic结构声明的方法、装置及存储介质
US20230070516A1 (en) * 2021-09-07 2023-03-09 International Business Machines Corporation Logical clock connection in an integrated circuit design
US20230072735A1 (en) * 2021-09-07 2023-03-09 International Business Machines Corporation Refinement of an integrated circuit design
WO2023202290A1 (zh) * 2022-04-18 2023-10-26 山东浪潮科学研究院有限公司 一种基于脚本的数据流图生成方法及装置
US11907634B2 (en) 2021-09-01 2024-02-20 International Business Machines Corporation Automating addition of power supply rails, fences, and level translators to a modular circuit design

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113542381A (zh) * 2021-07-06 2021-10-22 广州小鹏自动驾驶科技有限公司 一种消息帧处理方法和装置
CN113542381B (zh) * 2021-07-06 2024-04-19 广州小鹏自动驾驶科技有限公司 一种消息帧处理方法和装置
US11907634B2 (en) 2021-09-01 2024-02-20 International Business Machines Corporation Automating addition of power supply rails, fences, and level translators to a modular circuit design
US20230070516A1 (en) * 2021-09-07 2023-03-09 International Business Machines Corporation Logical clock connection in an integrated circuit design
US20230072735A1 (en) * 2021-09-07 2023-03-09 International Business Machines Corporation Refinement of an integrated circuit design
WO2023202290A1 (zh) * 2022-04-18 2023-10-26 山东浪潮科学研究院有限公司 一种基于脚本的数据流图生成方法及装置
CN115185486A (zh) * 2022-09-13 2022-10-14 紫光同芯微电子有限公司 用于生成asic结构声明的方法、装置及存储介质

Similar Documents

Publication Publication Date Title
CN112083897A (zh) 一种数字逻辑设计中信号声明系统、方法、设备及介质
CN109542789B (zh) 一种代码覆盖率统计方法及装置
CN110019668A (zh) 一种文本检索方法及装置
CN107622080B (zh) 一种数据处理方法及设备
CN108335718B (zh) 一种测试方法及装置
CN113535817B (zh) 特征宽表生成及业务处理模型的训练方法和装置
CN112199935B (zh) 数据的比对方法、装置、电子设备及计算机可读存储介质
CN108846069B (zh) 一种基于标记语言的文档执行方法及装置
CN110489559A (zh) 一种文本分类方法、装置及存储介质
CN111651950A (zh) 一种跨时钟域时序约束文件的生成方法、设备及介质
CN108804563B (zh) 一种数据标注方法、装置以及设备
CN115858774A (zh) 用于文本分类的数据增强方法、装置、电子设备及介质
WO2022063274A1 (zh) 一种数据标注方法、系统和电子设备
CN109710833B (zh) 用于确定内容节点的方法与设备
CN111209747B (zh) 一种词向量文件加载方法、装置、存储介质及电子设备
CN110245136B (zh) 数据检索方法及装置、设备及存储设备
CN113723047A (zh) 一种基于法律文件的图谱构建方法、设备及介质
CN109146395B (zh) 一种数据处理的方法、装置及设备
CN112115159A (zh) 一种sql语句的生成方法、装置、电子设备和存储介质
CN111967767A (zh) 一种业务风险识别方法、装置、设备及介质
CN114239590B (zh) 一种数据处理方法及装置
CN112445784B (zh) 一种文本结构化的方法、设备及系统
CN115098090B (zh) 表单生成方法及装置
CN107391382B (zh) 一种目标搜索方法和装置
CN112579949A (zh) 确定页面样式污染的方法和装置、存储介质和设备

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination