CN111834317A - Semiconductor device and method for manufacturing the same - Google Patents

Semiconductor device and method for manufacturing the same Download PDF

Info

Publication number
CN111834317A
CN111834317A CN201910313430.1A CN201910313430A CN111834317A CN 111834317 A CN111834317 A CN 111834317A CN 201910313430 A CN201910313430 A CN 201910313430A CN 111834317 A CN111834317 A CN 111834317A
Authority
CN
China
Prior art keywords
pad
layer
dielectric layer
barrier
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201910313430.1A
Other languages
Chinese (zh)
Inventor
张志伟
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Changxin Memory Technologies Inc
Original Assignee
Changxin Memory Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Changxin Memory Technologies Inc filed Critical Changxin Memory Technologies Inc
Priority to CN201910313430.1A priority Critical patent/CN111834317A/en
Publication of CN111834317A publication Critical patent/CN111834317A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4821Flat leads, e.g. lead frames with or without insulating supports
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/42Wire connectors; Manufacturing methods related thereto
    • H01L2224/47Structure, shape, material or disposition of the wire connectors after the connecting process
    • H01L2224/48Structure, shape, material or disposition of the wire connectors after the connecting process of an individual wire connector
    • H01L2224/484Connecting portions
    • H01L2224/48463Connecting portions the connecting portion on the bonding area of the semiconductor or solid-state body being a ball bond

Abstract

The disclosure provides a semiconductor device and a manufacturing method thereof, and relates to the technical field of wafer packaging test. The semiconductor device includes: a substrate; a dielectric layer on a side remote from the substrate; a pad on the dielectric layer; a barrier formed on the pad so as to divide the pad into a bonding pad and a test pad. The pad layer is divided into the welding pad and the testing pad by forming the barrier on the pad layer, so that the area damaged by the probe and the welding pad cannot be influenced mutually, and the yield and the stability of the chip are improved.

Description

Semiconductor device and method for manufacturing the same
Technical Field
The present disclosure relates to semiconductor technologies, and particularly to a semiconductor device and a method for manufacturing the same.
Background
With the development of semiconductor technology, semiconductor devices are increasingly used in production and daily life. Semiconductor devices, such as chips and the like, generally require pad design, and connection to the outside is achieved by using the pad. However, the pad and the external connection line often have unstable connection, which affects the electrical connection between the pad and other external semiconductor components, and reduces the yield and stability of the semiconductor device.
It is to be noted that the information disclosed in the above background section is only for enhancement of understanding of the background of the present disclosure, and thus may include information that does not constitute prior art known to those of ordinary skill in the art.
Disclosure of Invention
An object of the present disclosure is to provide a semiconductor device and a method for manufacturing the same, which overcome, at least to some extent, the technical problem of instability of the semiconductor device due to the limitations and disadvantages of the related art.
According to an aspect of the present disclosure, there is provided a semiconductor device including:
a substrate;
a dielectric layer on one side of the substrate;
the bonding pad is positioned on the surface of the dielectric layer far away from the substrate;
and the barrier protrudes from the surface of the bonding pad far away from the substrate so as to divide the bonding pad into a bonding pad and a testing pad.
In an embodiment, the dielectric layer includes a second via therein communicating with the pad, the barrier being located over the second via.
In an embodiment, a void is present in the fill material of the second via.
In an embodiment, the second through hole has a width of 0.1-0.3 um and a depth of 0.25-0.65 um, and the ratio of the depth to the width of the second through hole is > 2: 1.
in an embodiment, the barrier is rectangular or oval, the width of the barrier is 1um to 20um, the length of the barrier is 50um to 80um, and the height of the barrier is 100nm to 6 um.
In an embodiment, the substrate includes a wiring layer including a bonding wire connected with the bonding pad by a conductive post passing through the dielectric layer.
In an embodiment, the pad structure further includes a protective layer located on a side of the dielectric layer away from the substrate and exposing the pad.
In an embodiment, the material of the protective layer is one or a combination of polyimide and tetraethoxysilane.
In an embodiment, the pad structure further comprises a passivation layer between the protective layer and the dielectric layer.
In an embodiment, the pad, the barrier and the filling material in the second via are the same, and the pad is made of one of copper, aluminum, tungsten, titanium, gold and silver or an alloy of the above materials.
According to another aspect of the present disclosure, there is also provided a semiconductor device manufacturing method including:
providing a substrate;
forming a dielectric layer on the substrate;
forming a second via in the dielectric layer by etching;
forming a pad layer on the dielectric layer, and forming a barrier over the second via hole, thereby dividing the pad layer into a bonding pad and a test pad through the barrier.
In an embodiment, forming the second via in the dielectric layer by etching comprises:
forming a photoresist layer on the surface of the dielectric layer far away from the substrate;
exposing through a mask plate, and transferring the pattern of the mask plate to the photoresist layer;
developing to enable the photoresist layer to be exposed out of the area to be provided with the second through hole;
etching, and forming the second through hole in the area to be provided with the second through hole;
and removing the photoresist layer.
In an embodiment, forming a pad layer on the dielectric layer and forming a barrier over the second via comprises: forming a pad layer on the dielectric layer by physical vapor deposition, forming a void when filling the second via during the physical vapor deposition, and forming the barrier over the second via.
In one embodiment, the method further comprises:
forming a passivation layer on the pad and the dielectric layer;
depositing a protective layer on the passivation layer;
exposing and developing the protective layer to expose the passivation layer on the pad;
the exposed passivation layer is removed by etching to expose the pad.
In an embodiment, the passivation layer is a pad silicon oxide layer or a pad silicon nitride layer, and the material of the protection layer is one or more combinations of polyimide (polyimide) and tetraethyl orthosilicate (TEOS).
The pad layer is divided into the welding pad and the testing pad by forming the barrier on the pad layer, so that the mutual influence of the probe damage area and the welding pad is avoided, and the stability of the chip is improved.
It is to be understood that both the foregoing general description and the following detailed description are exemplary and explanatory only and are not restrictive of the disclosure.
Drawings
The accompanying drawings, which are incorporated in and constitute a part of this specification, illustrate embodiments consistent with the present disclosure and together with the description, serve to explain the principles of the disclosure. It is to be understood that the drawings in the following description are merely exemplary of the disclosure, and that other drawings may be derived from those drawings by one of ordinary skill in the art without the exercise of inventive faculty.
Fig. 1 illustrates a cross-sectional view of a semiconductor device in the related art;
fig. 2 illustrates a cross-sectional view of a semiconductor device of one embodiment of the present disclosure;
fig. 3 illustrates a usage effect diagram of a semiconductor device according to an embodiment of the present disclosure;
fig. 4 shows a cross-sectional view of a semiconductor device of another embodiment of the present disclosure;
fig. 5A shows a flow chart of a method of manufacturing a semiconductor device of one embodiment of the present disclosure;
FIG. 5B illustrates a flow chart for forming a second via by a method of a mask-lithography process in one embodiment of the present disclosure;
6A-6J illustrate cross-sectional views of various stages in a method of fabricating a semiconductor device according to another embodiment of the present disclosure;
fig. 7 illustrates a top view of a semiconductor device in one embodiment of the present disclosure.
Detailed Description
Example embodiments will now be described more fully with reference to the accompanying drawings. Example embodiments may, however, be embodied in many different forms and should not be construed as limited to the examples set forth herein; rather, these embodiments are provided so that this disclosure will be thorough and complete, and will fully convey the concept of example embodiments to those skilled in the art. The described features, structures, or characteristics may be combined in any suitable manner in one or more embodiments.
Furthermore, the drawings are merely schematic illustrations of the present disclosure and are not necessarily drawn to scale. The same reference numerals in the drawings denote the same or similar parts, and thus their repetitive description will be omitted.
In the related art, as shown in fig. 1, a pad 04 on a semiconductor device is generally used both as a test pad for making contact with a test probe and as a bonding pad for connecting with a conductive connection line 01. However, in the semiconductor device test, the contact of the test probe with the pad 04 easily causes damage 02 to the pad 04, such as generation of scratches or generation of fine dust. The pad damage 02 easily causes the connection between the pad 04 and the conductive connection line 01 to be insecure, the conductive connection line 01 (i.e. the packaging routing) is easy to fall off, the electrical connection between the semiconductor device and other external semiconductor components is affected, and the yield and the stability of the semiconductor device are reduced.
In the embodiment of the present disclosure, a semiconductor device including a pad structure is provided, as shown in fig. 2, the semiconductor device includes a substrate 301, a dielectric layer 302 on one side of the substrate 301; pads 308, 309 on the surface of the dielectric layer 302 remote from the substrate 301; and a barrier 307 protruding from the surface of the pad remote from the substrate 301 to divide the pad into a bonding pad 308 and a test pad 309.
In the pad structure in the above embodiment, the bonding pad 308 is used for being connected to the conductive connection line 01, the testing pad 309 is used for being contacted with the testing probe, and the bonding pad 308 and the testing pad 309 are isolated by the barrier 307, so that even if the testing pad 309 is damaged 02 when being contacted with the testing probe, the bonding pad 308 is not affected, the bonding pad 308 can be effectively connected to the conductive connection line 01, and the yield and the stability of the semiconductor device are improved.
As shown in fig. 2, the substrate 301 may include a dielectric layer 3011 and a wiring layer 3012. The wiring layer 3012 may be provided between the dielectric layer 3011 and the dielectric layer 302.
The material of the dielectric layer 3011 may be selected and determined according to the design requirements of the semiconductor device, and may be an organic insulating material, an inorganic insulating material, or a mixed material of an organic insulating material and an inorganic insulating material. For example, in one embodiment, the material of the dielectric layer 3011 may be one of silicon oxide, silicon nitride, and silicon oxynitride, or any combination thereof. It is understood that the dielectric layer 3011 may be a layer of insulating material or a stack of layers of different insulating materials.
As shown in fig. 2, the wiring layer 3012 may include a bonding wire 3031, and the bonding wire 3031 may be connected to the bonding pad 308 for connection of the semiconductor device to an external circuit. The orthogonal projection of the bonding pad 308 on the wiring layer 3012 may at least partially overlap the bonding wire 3031, and the bonding pad 308 and the bonding wire 3031 may be connected by a first conductive pillar 304 passing through the dielectric layer 302.
When the first conductive pillar 304 is prepared, a first through hole may be formed in the dielectric layer 302, and the first through hole exposes a surface of the solder wire 3031; then, when the bonding pad 308 is formed, the material for forming the bonding pad 308 is filled in the first via hole to form the first conductive pillar 304. The first conductive pillar 304 not only can realize the connection between the bonding pad 308 and the bonding wire 3031, but also can realize the support of the bonding pad 308, so that when the conductive connection wire 01 is bonded or bonded to the bonding pad 308, the support force of the bonding pad 308 is effectively improved, and the yield of the semiconductor device is improved.
The material of the dielectric layer 302 may be selected and determined according to the design requirements of the semiconductor device, and may be an organic insulating material or an inorganic insulating material. For example, in an embodiment, the material of the dielectric layer 302 may be one of silicon oxide, silicon nitride and silicon oxynitride, or any combination thereof. It is understood that the dielectric layer 302 may be a layer of insulating material or a stack of layers of different insulating materials.
As shown in fig. 2, the wiring layer 3012 may further include a test wiring 3032. In one embodiment, the test wire 3032 and the test pad 309 are separated by the dielectric layer 302, and the second conductive pillar 305 is disposed therebetween, and the second conductive pillar 305 is not connected to the test wire 3032. When the second conductive pillar 305 is prepared, a second through hole is formed in the dielectric layer 302, and the surface of the test wire 3032 is not exposed by the second through hole; then, when the test pad 309 is formed, the material for forming the test pad 309 is filled in the second via hole to form the second conductive pillar 305.
In another embodiment, as shown in fig. 4, the test wire 3032 is spaced apart from the test pad 309 by the dielectric layer 302 and connected thereto by the second conductive post 305. When the second conductive pillar 305 is prepared, a second through hole is formed in the dielectric layer 302, and the second through hole exposes the surface of the test wire 3032; then, when forming the test pad 309, the material for forming the test pad 309 may be filled in the second via hole to form the second conductive pillar 305. The second conductive pillar 305 can achieve not only the connection of the test pad 309 and the test wiring 3032 but also the support of the test pad 309 and the bonding pad 308.
The barrier 307 protrudes from the surface of the pad away from the substrate 301, thereby dividing the pad into a bonding pad 308 and a test pad 309. In one embodiment, the barrier 307 is located above the second through hole. The barrier can be rectangular or oval, and in one embodiment, the width of the barrier is 1um to 20um, the length of the barrier is 50um to 80um, and the height of the barrier is 100nm to 6 um. For example, the width of the barrier may be 2um, 5um, 7um, 10um, 15um, or 18um, the length of the barrier may be 60um or 70um, and the height of the barrier may be 300nm, 500nm, 800nm, 1um, 3um, or 5 um.
As shown in fig. 3, when the test probe 03 moves to the edge of the test pad 309, it is blocked by the sidewall of the barrier 307, so that the test probe 03 can move only in the area of the test pad 309, and thus the damage 02 can be generated only in the area of the test pad 309. Therefore, the possibility that the test probe 03 is mistakenly inserted into the welding pad 308 when the surface of the test pad 309 deviates can be reduced, the damage of the welding pad 308 is reduced, the structural integrity of the welding pad 308 is ensured, and the yield and the stability of the semiconductor device package are improved.
The bonding pad can be rectangular, and the length-width ratio is 1: 1-2: 1. In one embodiment, the pads are square. Accordingly, the bonding pad 308 and the test pad 309 divided by the barrier 307 may be rectangular, for example, the bonding pad 308 and the test pad 309 may be square. The material of the bonding pad can be one of copper, aluminum, tungsten, titanium, gold and silver or an alloy of the materials. In one embodiment, the material of the bond pad 308, the test pad 309, the barrier 307 and the fill material in the second via are the same.
In one embodiment, the semiconductor device further includes a protective layer 311 located on a side of the dielectric layer 302 away from the substrate 301 and exposing the pad, wherein a material of the protective layer 311 may be one or more of polyimide and tetraethoxysilane. In one embodiment, as shown in fig. 2, the semiconductor device further includes a passivation layer 310 located between the protective layer 311 and the dielectric layer 302. The passivation layer may be a pad silicon oxide layer or a pad silicon nitride layer. The passivation layer may be one or more layers.
A method of forming the semiconductor device is described below with reference to the accompanying drawings.
Fig. 5A illustrates a flow chart of a method of manufacturing a semiconductor device according to an embodiment of the present disclosure.
As shown in fig. 5A, step S502, a substrate is provided.
The substrate may include a dielectric layer and a wiring layer, and the wiring layer and the dielectric layer may be one or more layers.
Step S504 forms a dielectric layer on the substrate.
In particular implementations, the dielectric layer can be formed by chemical vapor deposition, atomic layer deposition, and the like. It will be appreciated that the dielectric layer may be a single layer of insulating material or a stack of layers of the same or different insulating materials. The material of the dielectric layer may be selected and determined according to the design requirements of the semiconductor device, and may be an organic insulating material or an inorganic insulating material. In an exemplary embodiment of the present disclosure, the material of the dielectric layer may be one or more of silicon oxide, silicon nitride, amorphous silicon, or silicon oxynitride.
Step S506, a second via is formed in the dielectric layer by etching.
The second via may be formed in the dielectric layer by a mask-lithography process, and the etching may be dry etching or wet etching. In one embodiment, the second through hole has a width of 0.1-0.3 um and a depth of 0.25-0.65 um, and the ratio of the depth to the width of the second through hole is > 2: 1. for example, the width of the second through-hole is 0.2um, and the degree of depth is 0.5um, and the degree of depth and the width ratio of second through-hole are 2.5: 1.
step S508 forms a pad layer on the dielectric layer and forms a barrier over the second via hole, thereby dividing the pad layer into a bonding pad and a test pad through the barrier.
In one embodiment, the pad layer on the dielectric layer may be formed by physical vapor deposition, the material forming the pad layer may be filled in the second via, and in a case that the width of the second via is small, the filling material in the second via forms a void (void), thereby forming a barrier above the pad layer at a position corresponding to the second via. In one embodiment, the barrier is rectangular or oval, the width of the barrier is 1um to 20um, the length of the barrier is 50um to 80um, and the height of the barrier is 100nm to 6 um. The material of the pad layer can be one of copper, aluminum, tungsten, titanium, gold, silver or an alloy of the above materials. In another embodiment, the pad layer and the barrier layer on the dielectric layer may be formed by electroplating.
In the embodiment, the barrier is formed on the pad layer, so that the pad layer is divided into the welding pad and the testing pad, the area damaged by the probe and the welding pad cannot be influenced mutually, the yield and the stability of the chip are improved, and extra cost cannot be generated. The barrier is naturally formed in the process of filling the second through hole in the dielectric layer, a separate process is not needed, the cost is low, and the implementation is convenient.
Fig. 5B illustrates a flow chart of forming a second via by a method of a mask-lithography process in one embodiment of the present disclosure.
As shown in fig. 5B, the mask photolithography process may include:
step S5601, forming a photoresist layer on a surface of the dielectric layer;
step S5602, carrying out exposure through a corresponding mask plate, and transferring the pattern of the mask plate to a photoresist layer;
step S5603, exposing the photoresist layer to an area to be provided with a second through hole through development, wherein the second through hole is positioned in the pad area;
step S5604, forming a second through hole by etching, wherein the etching can be dry etching, wet etching or plasma etching;
step S5605, the photoresist layer is removed to obtain a second via structure.
Fig. 6A-6J illustrate cross-sectional views of various stages in a method of fabricating a semiconductor device according to another embodiment of the present disclosure.
As shown in fig. 6A, a substrate 301, and a dielectric layer 302 formed on the substrate 301 are provided. The substrate 301 includes a wiring layer, and the wiring layer includes a solder wire 3031 and a test wire 3032.
As shown in fig. 6B, a photoresist layer 320 is formed on the dielectric layer 302, and via pattern lithography is performed. And carrying out exposure development through the corresponding mask plate so as to transfer the pattern of the mask plate to the dielectric layer.
As shown in fig. 6C-1, the first via 304 and the second via 305 are formed by etching, and the photoresist 320 is removed. The first through hole 304 communicates with the solder wiring 3031, and the second through hole 305 does not communicate with the test wiring 3032. By etching, the opening portion which is not covered and protected by the photoresist is removed, and a first via 304 and a second via 305 are formed. The etching method may be dry etching, wet etching or plasma etching. In another embodiment of the present disclosure, as shown in fig. 6C-2, the first through hole 304 communicates with the bond wire 3031 and the second through hole 305 communicates with the test wire 3032.
As shown in fig. 6D, the pad layer is formed by a physical vapor deposition or electroplating method, and a metal material such as copper, aluminum, tungsten, etc. is filled in the first via hole 304 and the second via hole 305 during the deposition process. Since the second via 305 has a narrow width, a void (void) is generated by sealing in advance during deposition, and a barrier 307 on the pad layer is formed; the pad layer is divided into a bonding pad 308 and a test pad 309 by a barrier 307.
As shown in fig. 6E, a photoresist layer 322 is formed on the pad layer and the barrier 307.
As shown in fig. 6F, the photoresist layer 322 is subjected to pad pattern lithography. And carrying out exposure and development through a corresponding mask plate, and removing the photoresist outside the bonding pad area.
As shown in fig. 6G, the pad layer material of the region other than the pad region is removed by etching, and the material of the pad region is retained due to the photoresist coverage.
As shown in fig. 6H, a passivation layer 310 is formed on dielectric layer 302, pads 308, 309, and barrier 307. The passivation layer 310 may be one or more layers, and the material of the passivation layer 310 may be silicon oxide or silicon nitride. In one embodiment, the passivation layer includes a layer of silicon nitride and a layer of silicon oxide.
As shown in fig. 6I, a protective layer 311 is formed on the passivation layer 310, and the protective layer 311 is exposed, developed, and etched, thereby exposing the passivation layer 310 on the pad region. The method for forming the protective layer can be chemical vapor deposition, atomic layer deposition and the like. The material of the protective layer may be one or more of polyimide (polyimide), tetraethyl orthosilicate (TEOS), and the like.
As shown in fig. 6J, the exposed passivation layer 310 is removed by etching to expose the pad regions 308, 309 and the barrier 307 for testing and connecting wires.
Note that during deposition, there may be some dishing of the pad layer above the first via 305. In one embodiment, the top of the barrier 307 exhibits a crater shape.
In the above embodiment, the second via is generated in the process of forming the first via for connecting the wirings, and the barrier is naturally generated when the pad layer is generated by using the characteristics of the second via, so that no additional process step is required, no additional cost is generated, and the method has better advantages and effects.
A semiconductor device formed by a method similar to the above-described semiconductor manufacturing method is shown in fig. 6J, and includes a substrate 301, a dielectric layer 302 on a side remote from the substrate 301; the dielectric layer has a first through hole 304 and a second through hole 305, and the first through hole 304 is communicated with the welding wire 3031; a pad located on the dielectric layer 302, and the first via 304 and the second via 305 are filled with a pad material, wherein the second via 305 is filled with a gap 306 in the filling material; a barrier 307 is formed on the pad above the second via 305 so as to divide the pad into a bonding pad 308 and a test pad 309.
In the embodiment, the barrier is formed on the pad layer to divide the pad into the welding pad and the testing pad, so that the area damaged by the probe and the welding pad cannot be influenced mutually, and the yield and the stability of the chip are improved.
It should be noted that although the various steps of the methods of the present disclosure are depicted in the drawings in a particular order, this does not require or imply that these steps must be performed in this particular order, or that all of the depicted steps must be performed, to achieve desirable results. Additionally or alternatively, certain steps may be omitted, multiple steps combined into one step execution, and/or one step broken down into multiple step executions, etc., are all considered part of this disclosure.
The present disclosure also provides a semiconductor device comprising any one of the pad structures described in the above pad structure embodiments. The semiconductor device may be a memory, a processor, or other semiconductor integrated circuit device.
The pad structure adopted by the semiconductor device of the embodiment of the present disclosure is the same as that in the embodiment of the pad structure, and therefore, the pad structure has the same beneficial effects, and details are not repeated herein.
Fig. 7 illustrates a top view of a semiconductor device in one embodiment of the present disclosure. As shown in fig. 7, the pad regions 308 and 309 are rectangular in top view, a protective layer 311 is formed on the outside, and a barrier 307 is formed in the pad region to divide the pad region into two parts 308 and 309 as a bonding pad and a test pad, respectively. In one embodiment, the barrier is rectangular or oval. In one embodiment, the barrier has a width of 1um to 20um, a length of 50um to 80um, and a height of 100nm to 6 um. In one embodiment, the bonding pad is rectangular and has an aspect ratio of 1:1 to 2: 1. In one embodiment, the pads are square. In one embodiment, barrier 307 divides the pad into bond pads 308 having the same area as the test pads 309. In another embodiment, the area of the bonding pad 308 is larger than the area of the testing pad 309, and the size of the area of the specific bonding pad 308 and the area of the testing pad 309 are set according to the requirement of wire bonding, which is not limited in this disclosure.
Other embodiments of the disclosure will be apparent to those skilled in the art from consideration of the specification and practice of the disclosure disclosed herein. This application is intended to cover any variations, uses, or adaptations of the disclosure following, in general, the principles of the disclosure and including such departures from the present disclosure as come within known or customary practice within the art to which the disclosure pertains. It is intended that the specification and examples be considered as exemplary only, with a true scope and spirit of the disclosure being indicated by the following claims.

Claims (15)

1. A semiconductor device, comprising:
a substrate;
a dielectric layer on one side of the substrate;
the bonding pad is positioned on the surface of the dielectric layer far away from the substrate;
and the barrier protrudes from the surface of the bonding pad far away from the substrate and divides the bonding pad into a welding pad and a testing pad.
2. The semiconductor device of claim 1, wherein the dielectric layer includes a second via therein in communication with the pad, the barrier being over the second via.
3. The semiconductor device according to claim 2, wherein the filling material of the second via hole is formed with a void.
4. The semiconductor device according to claim 2 or 3, wherein the second via hole has a width of 0.1 to 0.3um and a depth of 0.25 to 0.65um, and a ratio of depth to width of the second via hole is > 2: 1.
5. the semiconductor device according to claim 1, wherein the barrier is rectangular or elliptical, the width of the barrier is 1um to 20um, the length of the barrier is 50um to 80um, and the height of the barrier is 100nm to 6 um.
6. The semiconductor device according to claim 1 or 5, wherein the substrate includes a wiring layer including a bonding wire connected to the bonding pad through a conductive pillar penetrating the dielectric layer.
7. The semiconductor device according to claim 6, further comprising a protective layer on a side of the dielectric layer away from the substrate and exposing the pad.
8. The semiconductor device according to claim 7, wherein the protective layer is made of one or more of polyimide and tetraethoxysilane.
9. The semiconductor device of claim 7, further comprising a passivation layer between the protective layer and the dielectric layer.
10. The semiconductor device according to claim 3, wherein a material of the pad, the barrier, and a filling material in the second via are the same, and a material of the pad is one of copper, aluminum, tungsten, titanium, gold, and silver, or an alloy of the above materials.
11. A method of manufacturing a semiconductor device, comprising:
providing a substrate;
forming a dielectric layer on the substrate;
forming a second via in the dielectric layer by etching;
forming a pad layer on the dielectric layer, and forming a barrier over the second via hole, thereby dividing the pad layer into a bonding pad and a test pad through the barrier.
12. The method of claim 11, wherein forming a second via in the dielectric layer by etching comprises:
forming a photoresist layer on the surface of the dielectric layer far away from the substrate;
exposing through a mask plate, and transferring the pattern of the mask plate to the photoresist layer;
developing to enable the photoresist layer to be exposed out of the area to be provided with the second through hole;
etching, and forming the second through hole in the area to be provided with the second through hole;
and removing the photoresist layer.
13. The method of claim 11, wherein forming a pad layer on the dielectric layer and forming a barrier over the second via comprises:
forming a pad layer on the dielectric layer by physical vapor deposition, forming a void when filling the second via during the physical vapor deposition, and forming the barrier over the second via.
14. The method of claim 11, further comprising:
forming a passivation layer on the pad and the dielectric layer;
depositing a protective layer on the passivation layer;
exposing and developing the protective layer to expose the passivation layer on the pad;
the exposed passivation layer is removed by etching to expose the pad.
15. The method of claim 14, wherein the passivation layer is a pad silicon oxide layer or a pad silicon nitride layer, and the material of the protection layer is one or more of polyimide and tetraethoxysilane.
CN201910313430.1A 2019-04-18 2019-04-18 Semiconductor device and method for manufacturing the same Pending CN111834317A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201910313430.1A CN111834317A (en) 2019-04-18 2019-04-18 Semiconductor device and method for manufacturing the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201910313430.1A CN111834317A (en) 2019-04-18 2019-04-18 Semiconductor device and method for manufacturing the same

Publications (1)

Publication Number Publication Date
CN111834317A true CN111834317A (en) 2020-10-27

Family

ID=72914974

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201910313430.1A Pending CN111834317A (en) 2019-04-18 2019-04-18 Semiconductor device and method for manufacturing the same

Country Status (1)

Country Link
CN (1) CN111834317A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023206976A1 (en) * 2022-04-25 2023-11-02 长鑫存储技术有限公司 Semiconductor structure and manufacturing method for semiconductor structure

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023206976A1 (en) * 2022-04-25 2023-11-02 长鑫存储技术有限公司 Semiconductor structure and manufacturing method for semiconductor structure

Similar Documents

Publication Publication Date Title
US11043448B2 (en) Semiconductor device with vertically separated openings and manufacturing method thereof
US7285867B2 (en) Wiring structure on semiconductor substrate and method of fabricating the same
US10930625B2 (en) Semiconductor package and method of fabricating the same
JP4775007B2 (en) Semiconductor device and manufacturing method thereof
US8072076B2 (en) Bond pad structures and integrated circuit chip having the same
TWI551199B (en) Substrate with electrical interconnector structure and manufacturing method thereof
KR20070112646A (en) Wafer level package and method of fabricating the same
TWI591764B (en) Chip package and manufacturing method thereof
US20120211884A1 (en) Wafer chip scale package connection scheme
US20230053721A1 (en) Bonding structure and manufacturing method therefor
JP2008210952A (en) Manufacturing method of semiconductor device, manufacturing method of silicone interposer and manufacturing method of semiconductor module
JP2009164607A (en) Bonding pad structure, manufacturing method thereof, and semiconductor package including bonding pad structure
CN108155155B (en) Semiconductor structure and forming method thereof
US20220310496A1 (en) Semiconductor package and method of fabricating the same
CN111834317A (en) Semiconductor device and method for manufacturing the same
JP2007221080A (en) Semiconductor device, and method for manufacturing same
CN111834316A (en) Semiconductor device and method for manufacturing the same
JP2002093811A (en) Manufacturing method of electrode and semiconductor device
JP2006287094A (en) Semiconductor apparatus and manufacturing method therefor
KR100828027B1 (en) Stack type wafer level package and method of manufacturing the same, and wafer level stack package and method of manufacturing the same
JP2007088163A (en) Manufacturing method of semiconductor chip
JP6120964B2 (en) Semiconductor device and manufacturing method thereof
CN209544324U (en) Semiconductor devices
CN209544323U (en) Semiconductor devices
KR100734250B1 (en) Bonding pad of semiconductor memory device for improving adhesive strength bonding pad and bonding wire and method of manufacturing the same

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination