CN111755506A - 半导体装置与其形成方法 - Google Patents

半导体装置与其形成方法 Download PDF

Info

Publication number
CN111755506A
CN111755506A CN201911355292.XA CN201911355292A CN111755506A CN 111755506 A CN111755506 A CN 111755506A CN 201911355292 A CN201911355292 A CN 201911355292A CN 111755506 A CN111755506 A CN 111755506A
Authority
CN
China
Prior art keywords
source
gate
drain
dielectric
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201911355292.XA
Other languages
English (en)
Inventor
游家权
张家豪
林天禄
林佑明
王志豪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN111755506A publication Critical patent/CN111755506A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/764Air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • H01L29/4991Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material comprising an air gap
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本发明实施例提供半导体装置与其形成方法。在一实施例中,半导体装置包括:自基板延伸的鳍状物,位于鳍状物的通道区上的栅极结构,位于鳍状物的源极/漏极区上的源极/漏极接点;沿着栅极结构的侧壁延伸的间隔物,沿着源极/漏极接点的侧壁延伸的衬垫层,位于栅极结构上并电性耦接至栅极结构的栅极接点通孔,以及位于源极/漏极接点上并电性耦接至源极/漏极接点的源极/漏极接点通孔。栅极接点通孔延伸穿过第一介电层,使第一介电层的一部分夹设于栅极接点通孔与间隔物之间。源极/漏极接点通孔延伸穿过第二介电层,使第二介电层的一部分夹设于源极/漏极接点通孔与衬垫层之间。

Description

半导体装置与其形成方法
技术领域
本发明实施例涉及半导体装置结构与其形成方法,尤其涉及一种高速的半导体装置结构与其形成方法。
背景技术
电子产业对更小、更快、且可支援更多功能越来越复杂的电子装置的需求持续增加。综上所述,半导体产业的持续趋势为制作低成本、高效能与低能耗的集成电路。达成这些目标的主要方向为缩小半导体的集成电路尺寸(如最小结构尺寸),进而改善产能与降低相关成本。然而尺寸缩小也增加半导体工艺的复杂度。为实现半导体集成电路与装置的持续进展,半导体的形成工艺与技术也须类似进展。
近来导入多栅极装置以增加栅极-通道耦合、降低关闭状态的电流、并降低短通道效应,可改善栅极控制。这些多栅极装置之一为鳍状场效晶体管。鳍状场效晶体管的名称来自鳍状结构,而鳍状结构形成于基板上并自基板延伸,以用于形成场效晶体管通道。鳍状场效晶体管可与公知的互补式金属氧化物半导体工艺相容,且其三维结构在尺寸大幅缩小时仍可维持栅极控制并缓解短通道效应。然而即使导入鳍状场效晶体管,集成电路尺寸大幅下降最造成寄生电容增加(比如在鳍状场效晶体管的栅极与源极/漏极区或源极/漏极接点之间)。如此一来,增加的寄生电容会劣化装置效能。因此现有技术无法完全符合所有方面的需求。
发明内容
本发明实施例之一提供半导体装置。半导体装置包括鳍状物,自基板延伸,且鳍状物包括通道区以及与通道区相邻的源极/漏极区;栅极结构,位于通道区上;源极/漏极接点,位于源极/漏极区上;间隔物,沿着栅极结构的侧壁延伸;衬垫层,沿着源极/漏极接点的侧壁延伸;栅极接点通孔,位于栅极结构上并电性耦接至栅极结构,栅极接点通孔延伸穿过第一介电层,使第一介电层的一部分夹设于栅极接点通孔与间隔物之间;以及源极/漏极接点通孔,位于源极/漏极接点上并电性耦接至源极/漏极接点。源极/漏极接点通孔延伸穿过第二介电层,使第二介电层的一部分夹设于源极/漏极接点通孔与衬垫层之间。第一介电层与第二介电层的组成为相同的介电材料。
在另一实施例中,提供半导体装置。半导体装置包括:鳍状物,沿着一方向延伸,且包括通道区以及与通道区相邻的源极/漏极区;栅极结构,位于通道区上;第一介电结构,位于栅极结构上;源极/漏极接点,位于源极/漏极区上;第二介电结构,位于源极/漏极接点上;栅极接点通孔,穿过第一介电结构并电性耦接至栅极结构;源极/漏极接点通孔,穿过第二介电结构并电性耦接至源极/漏极接点;间隔物,沿着栅极结构的侧壁延伸;以及衬垫层,沿着源极/漏极接点的侧壁延伸。第一介电结构的上侧部分沿着上述方向具有第一宽度。第一介电结构的下侧部分沿着上述方向具有第二宽度,且第一宽度大于第二宽度。
在又一实施例中,提供半导体装置的形成方法。方法包括接收工件,且工件包括:鳍状物,沿着一方向延伸,且鳍状物包括通道区以及与通道区相邻的源极/漏极区;栅极结构,位于通道区上;第一介电结构,位于栅极结构上;源极/漏极接点,位于源极/漏极区上;第二介电结构,位于源极/漏极接点上;间隔物,沿着栅极结构的侧壁延伸;以及衬垫层,沿着源极/漏极接点的侧壁延伸。方法还包括形成栅极接点通孔穿过第一介电结构,以电性耦接至栅极结构;形成源极/漏极接点通孔穿过第二介电结构,以电性耦接至源极/漏极接点;移除第一介电结构以形成第一开口;移除第二介电结构以形成第二开口;以及形成第三介电结构于第一开口与第二开口中。第一介电结构具有第一介电常数,第二介电结构具有第二介电常数,且第三介电结构具有第三介电常数。第三介电常数小于第一介电常数。
附图说明
图1为本发明一或多个实施例中,鳍状场效晶体管装置的透视图。
图2为一些实施例中,制作低寄生电容的半导体装置的方法的流程图。
图3至7、8A、8B、9A与9B为根据图2的方法的一或多个步骤制作的例示性装置,沿着与图1中定义的剖面A-A’实质上平行的平面的剖视图。
图10A、10B、11A与11B为根据图2的方法的一或多个步骤制作的多种例示性装置,沿着与图1中定义的剖面A-A’实质上平行的平面的剖视图。
附图标记说明如下:
A-A’ 剖面
W1 第一宽度
W2 第二宽度
100、300 鳍状场效晶体管装置
102 基板
104、302 鳍状物
105 源极/漏极结构
106 隔离区
108、308 栅极介电层
110、310 第一间隔物
112、312 第二间隔物
114、314 衬垫层
120、320、320A 栅极结构
122、322 金属层
124、324 栅极盖层
126、326 栅极接点通孔
132、332 源极/漏极接点
134、334 源极/漏极盖层
136、336、336’ 源极/漏极接点通孔
140 低介电常数的介电结构
200 方法
202、204、206、208、210 步骤
304 通道区
305 源极/漏极区
316 第一自对准接点介电结构
317 第一填充材料
318 第二自对准接点介电结构
319 第二填充材料
320B 栅极切割结构
330、330A 源极/漏极接点结构
330B 源极/漏极接点隔离结构
338 金属接点蚀刻停止层
340 层间介电层
342 栅极接点通孔开口
344 源极/漏极接点通孔开口
346 金属填充材料
350 第一种开口
352 第二种开口
360 第一种低介电常数的介电结构
362 第二种低介电常数的介电结构
370、372 气囊
具体实施方式
下述内容提供的不同实施例或例子可实施本发明实施例的不同结构。特定构件与排列的实施例用以简化本公开而非局限本发明。举例来说,形成第一构件于第二构件上的叙述包括两者直接接触,或两者之间隔有其他额外构件而非直接接触。此外,本发明的多种实例可重复采用相同标号以求简洁,但多种实施例及/或设置中具有相同标号的元件并不必然具有相同的对应关系。
此外,空间性的相对用语如“下方”、“其下”、“下侧”、“上方”、“上侧”或类似用语可用于简化说明某一元件与另一元件在图示中的相对关系。空间性的相对用语可延伸至以其他方向使用的元件,而非局限于图示方向。元件也可转动90°或其他角度,因此方向性用语仅用以说明图示中的方向。
应注意的是,本发明实施例的形式为多栅极晶体管或鳍状多栅极晶体管(此处称作鳍状场效晶体管装置)。此装置可包括p型金属氧化物半导体鳍状场效晶体管装置或n型金属氧化物半导体鳍状场效晶体管装置。鳍状场效晶体管装置可为双栅极装置、三栅极装置、基体装置、绝缘层上硅装置及/或其他设置。本技术领域中普通的技术人员应理解本发明实施例有利于其他实施例的半导体装置。举例来说,此处所述的一些实施例可用于全绕式栅极装置、Ω栅极装置或Π栅极装置。
本发明实施例涉及半导体装置结构与其形成方法,尤其涉及高速的半导体装置结构与其形成方法。本发明实施例的半导体装置结构包括低介电常数(介电常数小于4)的介电结构于栅极结构及源极/漏极接点上,且栅极接点通孔与源极/漏极接点通孔延伸穿过低介电常数的介电结构。根据本发明实施例的方法,在形成栅极接点通孔与源极/漏极接点通孔于自对准介电结构中之后,接着移除自对准介电结构再形成低介电常数的介电结构。在一些例子中,移除自对准介电结构的方法可横向移除栅极间隔物与衬垫层的部分,以增加低介电常数的介电结构所用的开口体积。在一些例子中,低介电常数的介电结构可为孔洞状或包括气囊。以低介电常数的介电结构取代自对准的介电结构,可降低寄生电容并改善半导体装置的效能。
图1为鳍状场效晶体管装置100。鳍状场效晶体管装置100包括一或多个鳍状物为主的多栅极场效晶体管。本发明实施例以图1所示的鳍状场效晶体管举例说明,但本发明实施例并不限于此且可用于其他种类的场效晶体管,包括本发明实施例的图式所示的鳍状物以外的半导体结构。鳍状场效晶体管装置100包括基板102、沿着Y方向延伸且自基板102隆起的至少一鳍状物104、隔离区106与位于鳍状物104之上与周围的栅极结构120。基板102可为半导体基板如硅基板。基板可包括多种层状物,比如导电层或绝缘层形成于半导体基板上。基板102可包括多种掺杂设置,端视本技术领域已知的设计需求而定。此外,一些实施例的基板102可包括外延层、可具有应力以增进效能、可包括绝缘层上硅结构及/或可具有其他合适的增进结构。
鳍状物104与基板102类似,可包括硅或另一半导体元素如锗、半导体化合物(如碳化硅、砷化镓、磷化镓、磷化铟、砷化铟及/或锑化铟)、半导体合金(如硅锗、磷砷化镓、砷化铝铟、砷化铝镓、砷化铟镓、磷化镓铟及/或磷砷化镓铟)或上述的组合。鳍状物104的制作方法可采用合适工艺,包括光刻与蚀刻工艺。光刻工艺可包括形成光致抗蚀剂于基板102(比如硅层)上、以图案化射线曝光光致抗蚀剂、进行曝光后烘烤工艺以及显影光致抗蚀剂以形成含光致抗蚀剂的掩膜单元。在一些实施例中,图案化光致抗蚀剂以形成掩膜单元的步骤可采用电子束微影工艺。接着在形成凹陷至基板102中的蚀刻工艺时可采用掩膜单元保护基板102的一些区域,以留下延伸的鳍状物104。蚀刻凹陷的方法可采用干蚀刻(化学氧化物移除)、湿蚀刻及/或其他合适工艺。也可采用多种其他方法的实施例,以形成鳍状物104于基板102上。
多种鳍状物104的每一者还可包括源极/漏极区,即源极/漏极结构105形成其中、形成其上及/或与鳍状物104相邻处。值得注意的是场效晶体管如鳍状场效晶体管装置100中,通道区夹设于源极区与漏极区之间。为简化说明,通道区的不同侧上的源极区与漏极区一般称作源极/漏极区。源极/漏极区可外延生长于鳍状物104上。晶体管的通道区位于鳍状物104中与栅极结构120下,且通道区沿着实质上平行于图1中定义的剖面A-A’的平面。在一些例子中,鳍状物的通道区包括高迁移率材料如锗,以及任何上述的半导体化合物或半导体合金及/或上述的组合。在一些例子中,高迁移材料的电子迁移率大于硅的电子迁移率,其于室温(300K)下的本质电子迁移率为约1350cm2/V-s,而空穴迁移率为约480cm2/V-s。
隔离区106可为浅沟槽隔离结构。在其他实施例中,可实施场氧化物、局部氧化硅结构及/或其他合适隔离结构于基板102之上及/或之中。隔离区106的组成可为氧化硅、氮化硅、氮氧化硅、掺杂氟的硅酸盐玻璃、低介电常数的介电层、上述的组合及/或本技术领域已知的其他合适材料。在一实施例中,隔离结构为浅沟槽隔离结构,且其形成方法为蚀刻沟槽于基板102中。接着可将隔离材料填入沟槽,再进行化学机械研磨工艺。然而其他实施例也属可能。在一些实施例中,隔离区106可包括多层结构。
栅极结构120包括栅极介电层108,以及形成于栅极介电层108上的金属层122。在一些实施例中,栅极介电层108可包括界面层形成于鳍状物104的通道区上,以及高介电常数的介电层形成于界面层上。栅极介电层108的界面层可包括介电材料如氧化硅或氮氧化硅。栅极介电层108的高介电常数的介电层可包括氧化铪、氧化钛、氧化铪锆、氧化钽、氧化铪硅、氧化锆、氧化锆硅、上述的组合或其他合适材料。在其他实施例中,栅极介电层108可包括氧化硅或另一合适介电层。栅极介电层108的形成方法可为化学氧化、热氧化、原子层沉积、物理气相沉积、化学气相沉积及/或其他合适方法。金属层122可包括导电层如钨、氮化钛、氮化钽、氮化钨、铼、铱、钌、钼、铝、铜、钴、镍、上述的组合及/或其他合适组成。在一些实施例中,金属层122可包括用于n型鳍状场效晶体管的第一种金属材料,以及用于p型鳍状场效晶体管的第二种金属材料。因此鳍状场效晶体管装置100可包括双功函数金属栅极的设置。举例来说,第一金属材料(比如用于n型装置)包括的金属的功函数可实质上对准基板导带的功函数,或至少实质上对准鳍状物104的通道区的导带功函数。类似地,第二金属材料(比如用于p型装置)包括的金属的功函数可实质上对准基板的价带功函数,且至少实质上对准鳍状物104的通道区的价带功函数。因此金属层122可作为鳍状场效晶体管装置100(包括n型与p型的鳍状场效晶体管装置100)所用的栅极。在一些实施例中,金属层122可改为包括多晶硅层。金属层122的形成方法可采用物理气相沉积、化学气相沉积、电子束蒸镀及/或其他合适工艺。
在一些实施例中,可采用置换栅极工艺(或栅极置换工艺)以形成栅极结构120。在置换栅极工艺中,先形成半导体材料如多晶硅的虚置栅极(或牺牲栅极结构,或占位栅极结构)于通道区上,以作为欲形成的最终栅极结构的占位结构。在制作虚置栅极周围的结构之后,可移除虚置栅极并取代为最终栅极结构。在采用置换栅极工艺时,可形成多个间隔物(或间隔物层)于虚置栅极上。在之后的阶段可移除形成于虚置栅极结构上的间隔物,以利移除虚置栅极结构。在图1所示的一些实施例中,两个间隔物如第一间隔物110与第二间隔物112形成于虚置栅极上。可形成衬垫层114于第二间隔物的侧壁上。衬垫层114也可视作第三间隔物。在移除虚置栅极并置换为栅极结构120之后,可沿着栅极结构120的侧壁保留这两个间隔物与衬垫层。间隔物与衬垫层可包括介电材料如氧化硅、氮化硅、碳化硅、氮氧化硅、碳氧化硅、碳氮化硅、碳氮氧化硅、氧化铝、氮氧化铝、氮化铝、氧化锆、氮氧化锆铝、氮化铝、非晶硅或上述的组合。
在一些实施例中,为了避免后续工艺蚀刻或氧化金属层122,可形成栅极盖层124于金属层122上。栅极盖层124的组成可为钨、钴、镍、钌、钛、氮化钛、钽或氮化钽。在一些实施方式中,可形成自对准栅极介电结构于栅极盖层124上。在图1中,在形成栅极接点通孔126穿过栅极介电盖之后,移除自对准的栅极介电结构并取代为低介电常数的介电结构140。在图1所示的实施例中,栅极接点通孔126垂直的延伸穿过低介电常数的介电结构140并经由栅极盖层124电性耦接至金属层122。栅极介电层108、金属层122与栅极盖层124可一起称作栅极结构120。
鳍状场效晶体管装置100可包括源极/漏极接点132,其电性耦接至源极/漏极结构105。为了电性耦接源极/漏极接点132至源极/漏极结构105,可使源极/漏极结构105凹陷,并形成硅化物层于凹陷的源极/漏极结构105与源极/漏极接点132之间。在一些实施例中,在使源极/漏极结构105凹陷之前,可形成蚀刻停止层于源极/漏极结构105上。接着可沉积硅化物前驱物如镍、钴或钛于凹陷的源极/漏极结构105上。在退火之后,可形成硅化物结构如镍硅化物、钴硅化物或钛硅化物于凹陷的源极/漏极结构105上。硅化物结构可降低源极/漏极结构105与源极/漏极接点132之间的接点电阻。如图1所示,在形成源极/漏极接点通孔136穿过自对准源极/漏极介电结构之后,移除自对准源极/漏极介电结构并置换为低介电常数的介电结构140。在图1所示的实施例中,源极/漏极接点通孔136垂直延伸穿过低介电常数的介电结构140,并电性耦接至源极/漏极接点132。源极/漏极盖层134的组成可为钨、钴、镍、钌、钛、氮化钛、钽或氮化钽。在一些实施方式中,自对准源极/漏极介电结构可形成于源极/漏极盖层134上。之后可形成源极/漏极接点通孔136于源极/漏极盖层134上,以经由金属层或内连线结构中的金属线布线。虽然图1未显示,但硅化物结构、源极/漏极接点132、源极/漏极盖层134与源极/漏极接点通孔136可形成于源极/漏极结构105上,而自对准源极/漏极介电结构通常覆盖源极/漏极结构105。在图1中,图式中没有自对准源极/漏极介电结构,因为自对准源极/漏极介电结构已被移除并置换为低介电常数的介电结构140。
如上所述,集成电路尺寸大幅缩小会导致寄生电容上升而劣化装置效能。寄生电容来自栅极结构与源极/漏极区之间,或栅极接点通孔与源极/漏极接点通孔之间。公知的自对准介电结构具有栅极接点通孔与源极/漏极接点通孔穿过其中,且其组成非低介电常数的介电材料(比如介电常数小于4的介电材料),因为低介电常数的介电材料会导致缺陷与短路。当自对准的介电结构组成为高介电常数的介电材料时(比如介电常数大于4的介电材料),高介电常数的介电结构将增加寄生电容并影响半导体装置的效能。
本发明实施利比现有技术提供更多优点。不过应理解其他实施例可提供不同优点、此处不需说明所有优点、且所有实施例不必具有特定优点。举例来说,此处说明的实施例包括的方法在形成栅极接点通孔与源极/漏极接点通孔穿过高介电常数的自对准介电结构之后,将高介电常数的自对准介电结构置换为低介电常数的介电结构,随着高介电常数的自对准介电结构置换为低介电常数的介电结构,采用这些方法形成的半导体装置可具有较低的寄生电容与改善的效能。在本发明实施例中的半导体装置中,由于低介电常数的介电结构不需承受栅极接点通孔与源极/漏极接点通孔的形成工艺,因此介电结构可包括孔洞或气囊以进一步降低寄生电容。
图2显示一或多个实施例中,制作低寄生电容的鳍状场效晶体管装置300的方法200。在一些实施例中,方法200可用于制作鳍状场效晶体管装置100,如搭配图1说明的上述内容。因此关于鳍状场效晶体管装置100的一或多个上述实施例也可用于方法200。此外,图3至7、8A与8B为根据图2的方法200的一或多个步骤制作的例示性鳍状场效晶体管装置300,沿着与图1中定义的剖面A-A’实质上平行的平面的剖视图。值得注意的是,图1与图3可包括不同装置的结构与实施例,但只说明单一的半导体装置。
应理解的是,方法200的部分及/或鳍状场效晶体管装置300的制作方法可为已知的互补式金属氧化物半导体技术工艺流程,因此一些工艺仅简述于此。此外如上所述,鳍状场效晶体管装置300可采用鳍状场效晶体管装置100的部分,因此鳍状场效晶体管装置300的一些实施例及/或工艺仅简述于此,以利清楚理解本发明实施例。此外,鳍状场效晶体管装置300可包括多种其他装置与结构,比如额外晶体管、双极接面晶体管、电阻、电容、二极管、熔丝、类似物,但简化说明内容以利理解本发明实施例的发明概念。此外,一些实施例中的鳍状场效晶体管装置300包括多个半导体装置(如晶体管),其可彼此内连线。
在多种实施例中,鳍状场效晶体管装置300可为制作集成电路时的中间装置或其部分,且集成电路可包括静态随机存取存储器及/或其他逻辑电路、被动构件(如电阻、电容或电感)、主动构件(如p型通道的场效晶体管、n型通道的场效晶体管、金属氧化物半导体场效晶体管、高压晶体管、高频晶体管或其他存储器单元)及/或上述的组合。
如图2与3所示,方法200的步骤202提供鳍状场效晶体管装置300。在制作鳍状场效晶体管装置300时,其可称作工件。步骤202提供具有鳍状场效晶体管装置300于其上的工件。鳍状场效晶体管装置300可包括栅极结构320、源极/漏极接点332、以及沿着栅极结构320的侧壁形成的至少两个间隔物。如图3所示的鳍状场效晶体管装置300包括自基板延伸的鳍状物302。鳍状物302包括通道区304,以及与通道区304相邻的源极/漏极区305。鳍状场效晶体管装置300还包括栅极结构320,其包括栅极介电层308以及栅极介电层308上的金属层322。在一些实施例中,基板、鳍状物302、栅极介电层308与金属层322,可与图1中的上述基板102、鳍状物104、栅极介电层108与金属层122实质上类似。在一些例子中,金属层322的高度为约30nm至40nm。在一些实施方式中,鳍状场效晶体管装置300还可包括位于金属层322之上的栅极盖层324,以及位于栅极盖层324之上的第一对准接点介电结构316。在图3所示的实施例中,鳍状场效晶体管装置300包括两个间隔物:第一间隔物310与第二间隔物312。在一些实施例中,第一间隔物310与第二间隔物312中每一者的宽度可介于约5nm至约10nm之间。如上所述,由于间隔物的形成方法为沉积间隔物材料于虚置栅极上再移除虚置栅极,因此栅极间隔物可位于栅极结构320的两侧上。在一些实施例中,金属层322的组成可为导电层如钨、氮化钛、氮化钽、氮化钨、铼、铱、钌、钼、铝、铜、钴、镍、上述的组合及/或其他合适组成。
在一些实施例中,鳍状场效晶体管装置300的源极/漏极区305包括外延的源极/漏极结构,其形成方法可为一或多道的外延工艺。在一些例子中,外延的源极/漏极结构可形成于源极/漏极区305中的鳍状物302之中、之上、及/或周围。值得注意的是为了简化说明,图3至7、8A、8B、9A与9B所示的鳍状物302沿着Y方向的轮廓平坦。在一些例子中,鳍状物302沿着剖面A-A’的轮廓可不平坦,此现象的多种原因包括源极/漏极结构的存在与源极/漏极结构的凹陷。衬垫层314可形成于源极/漏极区305上,并沿着第二间隔物312的侧壁。在多种例子中,自外延的源极/漏极结构的上表面上移除衬垫材料之后,可形成硅化物层于外延的源极/漏极结构上以降低接点电阻。在一些实施例中,硅化物层可包括钴硅化物、镍硅化物或钛硅化物。此外,一些实施例可形成源极/漏极接点332于硅化物层上,以电性接触至外延的源极/漏极结构。在至少一些例子中,源极/漏极接点332包括钴层,不过在未偏离本发明实施例范畴的情况下可采用其他合适金属。在图3所示的一些实施例中,栅极结构320夹设于两个第一间隔物310之间,其也沿着Y方向自栅极结构320的两侧夹设于两个第二间隔物312之间。源极/漏极接点332夹设于两个衬垫层314之间。在这些实施例中,第二间隔物312夹设于第一间隔物310与衬垫层314之间。如图3所示,源极/漏极盖层334可形成于源极/漏极接点332上,而第二自对准接点介电结构318可形成于源极/漏极盖层334上。图3中的鳍状场效晶体管装置300的平坦化方法,可采用化学机械研磨或其他合适的研磨技术。
在一些实施例中,栅极盖层324与源极/漏极盖层334的组成可为相同材料或不同材料。举例来说,栅极盖层324与源极/漏极盖层334的组成可为钨、钴、镍、钌、钛、钽、氮化钛、氮化钽、上述的组合及/或其他合适组成。在一些例子中,栅极盖层324与源极/漏极盖层334的形成温度可介于约300℃至约400℃之间,且压力可介于约1Torr至约10Torr之间。在一些实施方式中,栅极盖层324与源极/漏极盖层334的厚度可介于约3nm至约30nm之间。
在一些实施例中,第一间隔物310、第二间隔物312、衬垫层314、第一自对准接点介电结构316与第二自对准接点介电结构318可包括介电材料如氧化硅、氮化硅、碳化硅、氮氧化硅、碳氧化硅、碳氮化硅、碳氮氧化硅、氧化铝、氮氧化铝、氮化铝、氧化锆、氮氧化锆铝、氮化铝、非晶硅或上述的组合。上述结构的形成方法可采用化学气相沉积、原子层沉积、电浆辅助化学气相沉积、电浆辅助原子层沉积或其他合适技术。举例来说,当这些结构的组成为氧化硅时,其形成方法的温度可介于约50℃至约400℃之间,压力可介于约1Torr至约10Torr之间,且可采用硅烷与一氧化二氮作为前驱物。当这些结构的组成为氮化硅时,其形成方法的温度可介于约250℃至约500℃之间,压力可介于约1Torr至约10Torr之间,且可采用乙硅烷与氨作为前驱物。当这些结构的组成为碳化硅时,其形成方法的温度可介于约200℃至约450℃之间,压力可介于约1Torr至约10Torr之间,且可采用具有三甲基硅基的前驱物。当这些结构的组成为氮氧化硅时,其形成方法的温度可介于约200℃至约450℃之间,压力可介于约1Torr至约10Torr之间,且可采用硅烷与一氧化二氮作为前驱物。当这些结构的组成为碳氧化硅时,其形成方法的温度可介于约200℃至约450℃之间,压力可介于约1Torr至约10Torr之间,且可采用含硅-碳键的气体反应物与含氧的气体反应物。当这些结构的组成为碳氮化硅时,其形成方法的温度可介于约200℃至约450℃之间,压力可介于约1Torr至约10Torr之间,且可采用含碳-硅键的气体反应物与含氮的气体反应物。当这些结构的组成为碳氮氧化硅时,其形成方法的温度可介于约200℃至约450℃之间,压力可介于约1Torr至约10Torr之间,且可采用含碳-硅键的气体反应物与含氧或氮的气体反应物。当这些结构的组成为氧化铝、氮氧化铝或氮化铝时,其形成方法的温度可介于约200℃至约400℃之间,压力可介于约1Torr至约10Torr之间,且可采用三甲基胺与水作为前驱物。当这些结构的组成为氧化锆、氧化锆铝或氮化铝时,其形成方法的温度可介于约200℃至约400℃之间,压力可介于约1Torr至约10Torr之间,且可采用四氯化锆、三甲基胺与水作为前驱物。当这些结构的组成为非晶硅时,其形成方法的温度可介于约350℃至约530℃之间,压力可介于约0Torr至约1Torr之间,且可采用硅烷与乙硅烷作为前驱物。在一些例子中,第一间隔物310与第二间隔物312的厚度可介于约0.5nm至约15nm之间。在一些实施方式中,第一自对准接点介电结构316与第二自对准接点介电结构318的组成可为介电常数大于4的不同介电材料。
剖面A-A’可延伸穿过多个通道区与源极/漏极区,其可采用不同种类的栅极布局与源极/漏极布局。在图3、4至7、8A、8B、9A、9B、10A、10B、11A与11B中,沿着相同的剖面A-A’可能未显示多个区域与结构以简化图式,因此本发明实施例的范畴不局限于图式,除非另外说明。在图3、图4至7、8A、8B、9A、9B、10A、10B、11A与11B所示的实施例中,剖面穿过栅极结构320、源极/漏极接点结构330(包括源极/漏极接点332与源极/漏极盖层334)、栅极结构320A、源极/漏极接点结构330A、栅极切割结构320B与源极/漏极接点隔离结构330B。在一些例子中,栅极结构320与源极/漏极接点结构330可出现在相同平面中。在一些其他例子中,栅极结构320A与源极/漏极接点结构330A可出现在相同平面中。在其他例子中,栅极切割结构320B与源极/漏极接点隔离结构330B可位于相同平面。采用栅极置换工艺时,栅极切割结构320B的形成方法可为将第一填充材料317填入开口,使金属层(如金属层322)无法沉积至开口中。类似地,源极/漏极接点隔离结构330B的形成方法可为将第二填充材料319填入开口,使源极/漏极层无法沉积于开口中。如图3所示,栅极切割结构320B不含任何栅极介电层、金属层或栅极盖层。类似地,源极/漏极接点隔离结构330B不含任何源极/漏极接点或源极/漏极盖层。栅极切割结构320B可分开栅极结构(如栅极结构320A)。源极/漏极接点隔离结构330B可分隔源极/漏极接点结构(如源极/漏极接点结构330A)。在一些实施例中,第一填充材料317与第一自对准接点介电结构316的组成可相同,而第二填充材料319与第二自对准接点介电结构318的组成可相同。在这些实施例中,随着形成第一自对准接点介电结构316与第二自对准接点介电结构318可沉积第一填充材料317,且随着形成第二自对准接点介电结构318可沉积第二填充材料319。
如图2、4、5、6与7所示,方法200的步骤204形成栅极接点通孔326与源极/漏极接点通孔336。在一些实施例中,形成栅极接点通孔326穿过第一自对准接点介电结构316以电性耦接至栅极盖层324,并形成源极/漏极接点通孔336穿过第二自对准接点介电结构318以电性连接至源极/漏极盖层334。如图4所示的一些实施例中,金属接点蚀刻停止层338形成鳍状场效晶体管装置300上。金属接点蚀刻停止层338的材料选择可与第一间隔物310、第二间隔物312、衬垫层314、第一自对准接点介电结构316与第二自对准接点结构318的材料相同,并可采用与前述类似的前驱物与工艺形成。接着形成层间介电层340于金属接点蚀刻停止层338上。层间介电层340的组成可为半导体氧化物、半导体氮化物或其他合适的介电材料。举例来说,层间介电层340的组成可为氧化硅。如图5所示,可采用光刻技术蚀刻栅极接点通孔开口342以露出栅极盖层324,与源极/漏极接点通孔开口344以露出源极/漏极盖层334。工艺的例子可包括形成光致抗蚀剂层于层间介电层340上、以图案化射线曝光光致抗蚀剂、进行曝光后烘烤工艺与显影光致抗蚀剂以形成图案化的光致抗蚀剂。图案化的光致抗蚀剂之后可作为蚀刻掩膜,并蚀刻层间介电层340与金属接点蚀刻停止层338以形成图案化的硬掩膜。图案化的硬掩膜之后可作为蚀刻掩膜,以分别形成穿过第一自对准接点介电结构316与第二自对准接点介电结构318的栅极接点通孔开口342与源极/漏极接点通孔开口344。
如图6所示,可沉积金属填充材料346于栅极接点通孔开口342与源极/漏极接点通孔开口344中。金属填充材料可包括钨、氮化钛、氮化钽、氮化物、铼、铱、钌、钼、铝、铜、钴、镍或上述的组合,且其沉积方法可采用原子层沉积、化学气相沉积、物理气相沉积或其他合适技术。如图7所示,接着以平坦化工艺如化学机械研磨移除多余的金属填充材料346、金属接点蚀刻停止层338与层间介电层340,以形成栅极接点通孔326与源极/漏极接点通孔336。如图7所示的实施例中,同时形成栅极接点通孔326与源极/漏极接点通孔336,且栅极接点通孔326的上表面与源极/漏极接点通孔336的上表面共平面。在依序形成栅极接点通孔326与源极/漏极接点通孔336的其他实施例中,栅极接点通孔326的上表面与源极/漏极接点通孔336的上表面可不共平面。
如图2、8A与8B所示,方法200的步骤206移除第一自对准接点介电结构316与第二自对准接点介电结构318,以形成图8A中的第一种开口350或图8B中的第二种开口352。在一些实施例中,第一自对准接点介电结构316与第二自对准接点介电结构318的移除方法可为合适的蚀刻工艺,比如各向同性或各向异性的干蚀刻制成。在一些实施方式中,蚀刻工艺对第一自对准接点介电结构316与第二自对准接点介电结构318具有高选择性,因此实质上不蚀刻第一间隔物310、第二间隔物312与衬垫层314。在这些实施方式中,可形成图8A所示的第一种开口350。第一种开口350定义于两个第一间隔物310之间,且在沿着X方向的视角中具有实质上垂直的侧壁(沿着Z方向)。此外,这些实施方式中的第一种开口350沿着Y方向的宽度,在沿着Z方向的整个深度中一致或实质上一致。在一些其他实施例方式中,蚀刻工艺对第一自对准接点介电结构316与第二自对准接点介电结构318的选择性不高,因此可蚀刻第一间隔物310、第二间隔物312与衬垫层314。在这些实施方式中,可形成图8B所示的第二种开口352。在形成第二间隔物312的一些例子中,其材料的蚀刻量小于第一间隔物310与衬垫层314的蚀刻量,且可露出第二间隔物312的侧壁的一部分,如图8B所示。举例来说,第二间隔物312的组成可为氧化铝、氮氧化铝、氮化铝、氧化锆、氮氧化锆铝或氮化铝,而第一间隔物310与衬垫层314的组成可为氧化硅、氮化硅、碳化硅、氮氧化硅、碳氧化硅、碳氮化硅、碳氮氧化硅或非晶硅。在这些例子中,第二种开口352的上侧部分可定义于两个第二间隔物312之间,而第二种开口352的下侧部分可定义于两个第一间隔物310之间,且在沿着X方向的视角中具有实质上垂直的侧壁(沿着Z方向)。在这些实施方式中,第二种开口352的上侧部分具有第一宽度W1,而第二种开口352的下侧部分具有第二宽度W2。第一宽度W1大于第二宽度W2。
沿着剖面A-A’的剖面图可(或可不)同时延伸穿过栅极接点通孔与源极/漏极接点通孔。举例来说,图7中的鳍状场效晶体管装置300的剖面延伸穿过栅极结构320所用的栅极接点通孔326,与源极/漏极接点结构330(包括源极/漏极接点332与源极/漏极盖层334)所用的源极/漏极接点通孔336。然而在此例中,剖面图可省略栅极结构320A所用的栅极接点通孔与源极/漏极接点结构330A(包括源极/漏极接点332与源极/漏极盖层334)所用的源极/漏极接点通孔。
如图2、9A与9B所示,方法200的步骤208沉积低介电常数的介电材料于图8A中的第一种开口350中以形成图9A所示的第一种低介电常数的介电结构360,或沉积低介电常数的介电材料于图8B中的第二种开口352以形成图9B所示的第二种低介电常数的介电结构362。在一些实施例中,低介电常数的介电材料可包括硅、氧与碳,其沉积方法可采用原子层沉积或化学气相沉积,沉积温度介于约200℃至约450℃之间,且沉积压力介于约1Torr至约10Torr之间。在这些实施例中,低介电常数的介电材料可为碳氧化硅,其形成方法可采用含硅与碳的前驱物与另一含氧的前驱物。在一些其他实施例中,低介电常数的介电材料可包括硅、碳与氮,其沉积方法可采用原子层沉积或化学气相沉积,沉积温度介于约200℃至约450℃之间,且沉积压力介于约1Torr至约10Torr之间。在其他实施例中,低介电常数的介电材料可为碳氮化硅,且其沉积方法可采用含硅与碳的前驱物与含氮的另一前驱物。在一些实施方式中,低介电常数的介电材料的介电常数低于4,且厚度介于约5nm至约10nm之间。在一些例子中,可采用合适的平坦化技术如化学机械研磨移除多余的低介电常数的介电材料,以提供平坦的上表面如图9A与9B所示。在一些实施例中,低介电常数的介电材料可包括一或多种致孔剂如碳氢化合物,而第一种低介电常数的介电结构360与第二种低介电常数的介电结构362在致孔剂分解后可为孔洞状。在一些例子中,可进行退火工艺以分解并驱出低介电常数的介电材料中的致孔剂。
在图9A所示的实施例中,第一种低介电常数的介电结构360依照第一种开口350的形状,并在沿着X方向的视角中具有实质上垂直的侧壁(沿着Z方向)。与第一种开口350类似,第一种低介电常数的介电结构360沿着Y方向的宽度,在沿着Z方向的整个高度中一致或实质上一致。在图9B所示的实施例中,第二种低介电常数的介电结构362依照第二种开口352的形状。在一些例子中,第二种开口352的上侧部分可夹设于两个第二间隔物312之间,而第二种开口352的下侧部分可定义于两个第一间隔物310之间,且在沿着X方向的视角中具有实质上垂直的侧壁(沿着Z方向)。在这些其他实施方式中,第二种低介电常数的介电结构362的上侧部分具有第一宽度W1,而第二种低介电常数的介电结构362的下侧部分具有第二宽度W2。第一宽度W1大于第二宽度W2。
在一实施例中,步骤208各向同性地地沉积低介电常数的介电材料,使低介电常数的介电材料在靠近图8A中的第一种开口350或图8B中的第二种开口352的顶部边缘两侧较厚,并封闭气体以形成图10A或10B中的气囊370。在图10A与10B所示的一些实施例中,第一种低介电常数的介电结构360与第二种低介电常数的介电结构362分别封闭并完全围绕气囊370。在图11A与11B所示的其他实施例中,第一种低介电常数的介电结构360与第二种低介电常数的介电结构362可不完全围绕气囊,因此气囊可称作开放的气囊372。在这些实施例中,开放的气囊372各自包括一或多个开口,以分别穿过栅极结构320、源极/漏极接点结构330、栅极结构320A、源极/漏极接点结构330A、栅极切割结构320B与源极/漏极接点隔离结构330B的上表面。值得注意的是,之后形成的层间介电层可密封这些开放的气囊372。
在图11A与11B所示的其他实施例中,步骤204可分别依序形成栅极接点通孔326与其他的源极/漏极接点通孔336’。举例来说,可采用步骤204所述的步骤形成栅极接点通孔326,接着可采用类似步骤形成其他源极/漏极接点通孔336’。在另一例中,可在形成其他源极/漏极接点通孔336’之后,再形成栅极接点通孔326。在这些其他实施例中,栅极接点通孔326与其他源极/漏极接点通孔336’可不共平面,且其中一者相对于基板102(如图1所示)的高度可大于另一者相对于基板102的高度。
如图2所示,方法200的步骤210进行后续工艺。举例来说,后续制成可形成多层内连线结构(比如金属曾与层间介电层),其设置为连接多种结构以形成功能电路,其可包括一或多个鳍状场效晶体管装置。在其他例子中,多层内连线可包括垂直内连线如通孔或接点,以及水平内连线如金属线路。多种内连线结构可采用多种导电材料如铜、钨及/或硅化物。在一例中,采用镶嵌及/或双镶嵌工艺以形成铜相关的多层内连线结构。
因此此处所述的多种实施例可比公知技术提供多种优点。举例来说,本发明实施例的半导体装置包括低介电常数的介电结构位于栅极接点通孔与源极/漏极接点通孔周围,以降低寄生电容。在形成栅极接点通孔与源极/漏极接点通孔之后再形成低介电常数的介电结构,使低介电常数的介电结构不需承受栅极接点通孔与源极/漏极接点通孔的形成工艺。应理解的是,此处不必说明所有优点,所有的实施例不必具有特定优点,且其他实施例可提供不同优点。本技术领域中普通的技术人员自可由本发明实施例思及额外的实施例与优点。
因此本发明实施例的一提供半导体装置。半导体装置包括鳍状物,自基板延伸,且鳍状物包括通道区以及与通道区相邻的源极/漏极区;栅极结构,位于通道区上;源极/漏极接点,位于源极/漏极区上;间隔物,沿着栅极结构的侧壁延伸;衬垫层,沿着源极/漏极接点的侧壁延伸;栅极接点通孔,位于栅极结构上并电性耦接至栅极结构,栅极接点通孔延伸穿过第一介电层,使第一介电层的一部分夹设于栅极接点通孔与间隔物之间;以及源极/漏极接点通孔,位于源极/漏极接点上并电性耦接至源极/漏极接点。源极/漏极接点通孔延伸穿过第二介电层,使第二介电层的一部分夹设于源极/漏极接点通孔与衬垫层之间。第一介电层与第二介电层的组成为相同的介电材料。
在一些实施例中,介电材料的介电常数小于4。在一些实施例中,第一介电层与第二介电层包括气囊。在一些实施方式中,第一介电层与第二介电层为孔洞状。在一些例子中,间隔物包括氧化铝、氮氧化铝、氮化铝、氧化锆、氧化锆铝、氮化锆、氧化铪或上述的组合,且第一介电层与第二介电层包括硅、氧、氮或碳。在一些实施例中,第一介电层包括靠近栅极结构的下侧部分与远离栅极结构的上侧部分,且上侧部分比下侧部分宽。在一些实施例中,第二介电层包括靠近源极/漏极接点的下侧部分与远离源极/漏极接点的上侧部分,且上侧部分比下侧部分宽。
在另一实施例中,提供半导体装置。半导体装置包括:鳍状物,沿着一方向延伸,且包括通道区以及与通道区相邻的源极/漏极区;栅极结构,位于通道区上;第一介电结构,位于栅极结构上;源极/漏极接点,位于源极/漏极区上;第二介电结构,位于源极/漏极接点上;栅极接点通孔,穿过第一介电结构并电性耦接至栅极结构;源极/漏极接点通孔,穿过第二介电结构并电性耦接至源极/漏极接点;间隔物,沿着栅极结构的侧壁延伸;以及衬垫层,沿着源极/漏极接点的侧壁延伸。第一介电结构的上侧部分沿着上述方向具有第一宽度。第一介电结构的下侧部分沿着上述方向具有第二宽度,且第一宽度大于第二宽度。
在一些实施例中,第二介电结构的上侧部分沿着上述方向具有第三宽度,第二介电结构的下侧部分沿着上述方向具有第四宽度,且第三宽度大于第四宽度。在一些实施例中,第一介电结构与第二介电结构具有相同组成。在一些例子中,第一介电结构与第二介电结构的介电常数小于4。在一些实施方式中,第一介电结构与第二介电结构包括气囊。在一些实施例中,第一介电结构与第二介电结构为孔洞状。在一些例子中,间隔物包括氧化铝、氮氧化铝、氮化铝、氧化锆、氧化锆铝、氮化锆、氧化铪或上述的组合,且第一介电结构与第二介电结构包括硅、氧、氮或碳。
在又一实施例中,提供半导体装置的形成方法。方法包括接收工件,且工件包括:鳍状物,沿着一方向延伸,且鳍状物包括通道区以及与通道区相邻的源极/漏极区;栅极结构,位于通道区上;第一介电结构,位于栅极结构上;源极/漏极接点,位于源极/漏极区上;第二介电结构,位于源极/漏极接点上;间隔物,沿着栅极结构的侧壁延伸;以及衬垫层,沿着源极/漏极接点的侧壁延伸。方法还包括形成栅极接点通孔穿过第一介电结构,以电性耦接至栅极结构;形成源极/漏极接点通孔穿过第二介电结构,以电性耦接至源极/漏极接点;移除第一介电结构以形成第一开口;移除第二介电结构以形成第二开口;以及形成第三介电结构于第一开口与第二开口中。第一介电结构具有第一介电常数,第二介电结构具有第二介电常数,且第三介电结构具有第三介电常数。第三介电常数小于第一介电常数。
在一些实施例中,移除第一介电结构的步骤包括蚀刻间隔物与衬垫层。在一些实施例中,移除第二介电结构的步骤包括蚀刻间隔物与衬垫层。在一些例子中,分开进行形成栅极接点通孔的步骤与形成源极/漏极接点通孔的步骤。在一些实施例中,第一介电常数与第二介电常数大于4,且第三介电常数小于4。在一些实施例中,第一介电结构与第二介电结构包括氧化铝、氮氧化铝、氮化铝、氧化锆、氧化锆铝、氮化锆、氧化铪或上述的组合,且其中第三介电结构包括硅、氧、氮或碳。
上述实施例的特征有利于本技术领域中普通的技术人员理解本发明实施例。本技术领域中普通的技术人员应理解可采用本发明作基础,设计并变化其他工艺与结构以完成上述实施例的相同目的及/或相同优点。本技术领域中普通的技术人员亦应理解,这些等效置换并未脱离本发明精神与范畴,并可在未脱离本发明的精神与范畴的前提下进行改变、替换或更动。

Claims (1)

1.一种半导体装置,包括:
一鳍状物,自一基板延伸,且该鳍状物包括一通道区以及与该通道区相邻的一源极/漏极区;
一栅极结构,位于该通道区上;
一源极/漏极接点,位于该源极/漏极区上;
一间隔物,沿着该栅极结构的侧壁延伸;
一衬垫层,沿着该源极/漏极接点的侧壁延伸;
一栅极接点通孔,位于该栅极结构上并电性耦接至该栅极结构,该栅极接点通孔延伸穿过一第一介电层,使该第一介电层的一部分夹设于该栅极接点通孔与该间隔物之间;以及
一源极/漏极接点通孔,位于该源极/漏极接点上并电性耦接至该源极/漏极接点,该源极/漏极接点通孔延伸穿过一第二介电层,使该第二介电层的一部分夹设于该源极/漏极接点通孔与该衬垫层之间,
其中该第一介电层与该第二介电层的组成为相同的介电材料。
CN201911355292.XA 2019-03-26 2019-12-25 半导体装置与其形成方法 Pending CN111755506A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962823827P 2019-03-26 2019-03-26
US62/823,827 2019-03-26
US16/441,107 US11043594B2 (en) 2019-03-26 2019-06-14 Low parasitic resistance contact structure
US16/441,107 2019-06-14

Publications (1)

Publication Number Publication Date
CN111755506A true CN111755506A (zh) 2020-10-09

Family

ID=72604777

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201911355292.XA Pending CN111755506A (zh) 2019-03-26 2019-12-25 半导体装置与其形成方法

Country Status (3)

Country Link
US (3) US11043594B2 (zh)
CN (1) CN111755506A (zh)
TW (1) TW202105735A (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11264470B2 (en) * 2020-02-27 2022-03-01 Globalfoundries U.S. Inc. Lateral bipolar junction transistor device and method of making such a device
CN114068481A (zh) * 2020-07-31 2022-02-18 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US11973075B2 (en) 2021-02-22 2024-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Dual substrate side ESD diode for high speed circuit
US20220293742A1 (en) * 2021-03-10 2022-09-15 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field-effect transistor device and method
US20220336367A1 (en) * 2021-04-15 2022-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Forming Liners to Facilitate The Formation of Copper-Containing Vias in Advanced Technology Nodes

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US9236267B2 (en) 2012-02-09 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Cut-mask patterning process for fin-like field effect transistor (FinFET) device
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US9105490B2 (en) 2012-09-27 2015-08-11 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US8921226B2 (en) * 2013-01-14 2014-12-30 United Microelectronics Corp. Method of forming semiconductor structure having contact plug
US9136106B2 (en) 2013-12-19 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9520482B1 (en) 2015-11-13 2016-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of cutting metal gate
KR102458923B1 (ko) * 2016-02-01 2022-10-25 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US10079208B2 (en) * 2016-07-28 2018-09-18 Globalfoundries Inc. IC structure with interface liner and methods of forming same
US10164106B2 (en) * 2016-12-29 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
US10872980B2 (en) * 2017-04-25 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10170322B1 (en) * 2017-11-16 2019-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition based process for contact barrier layer

Also Published As

Publication number Publication date
US20230215948A1 (en) 2023-07-06
US20200312994A1 (en) 2020-10-01
TW202105735A (zh) 2021-02-01
US11605736B2 (en) 2023-03-14
US20210313464A1 (en) 2021-10-07
US11043594B2 (en) 2021-06-22

Similar Documents

Publication Publication Date Title
US11854902B2 (en) Integrated circuits with buried interconnect conductors
US11276763B2 (en) Contacts for highly scaled transistors
US10535746B2 (en) Metal gate structure and methods thereof
US10727347B2 (en) Semiconductor device and a method for fabricating the same
KR101795214B1 (ko) 반도체 장치 및 그 제조 방법
TWI743779B (zh) 半導體裝置及其形成方法
US10038094B2 (en) FinFET structure and methods thereof
TWI740447B (zh) 具有標準單元的半導體元件及其製造方法
US20230282720A1 (en) High Speed Semiconductor Devices
US11605736B2 (en) Low-capacitance structures and processes
TWI721325B (zh) 半導體裝置及其製造方法
US11728411B2 (en) Stacked gate spacers
US11171053B2 (en) Transistor device and related methods
US20230061158A1 (en) Semiconductor Device with Multi-Layer Dielectric and Methods of Forming the Same
KR102587146B1 (ko) 반도체 디바이스 및 그 제조 방법
US20210398975A1 (en) Metal gate structure and methods thereof
US20220367683A1 (en) Structure and Method for Multigate Devices with Suppressed Diffusion
US20230035444A1 (en) Improved Via Structures
US20240021686A1 (en) Source/Drain Contacts And Methods For Forming The Same

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20201009

WD01 Invention patent application deemed withdrawn after publication