CN111403326B - Substrate carrier and lifting structure thereof - Google Patents

Substrate carrier and lifting structure thereof Download PDF

Info

Publication number
CN111403326B
CN111403326B CN201910130970.6A CN201910130970A CN111403326B CN 111403326 B CN111403326 B CN 111403326B CN 201910130970 A CN201910130970 A CN 201910130970A CN 111403326 B CN111403326 B CN 111403326B
Authority
CN
China
Prior art keywords
substrate
substrate carrier
plate
supporting
lifting structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201910130970.6A
Other languages
Chinese (zh)
Other versions
CN111403326A (en
Inventor
潘咏晋
刘维虔
褚育辰
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Gudeng Precision Industrial Co Ltd
Original Assignee
Gudeng Precision Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Gudeng Precision Industrial Co Ltd filed Critical Gudeng Precision Industrial Co Ltd
Publication of CN111403326A publication Critical patent/CN111403326A/en
Application granted granted Critical
Publication of CN111403326B publication Critical patent/CN111403326B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67379Closed carriers characterised by coupling elements, kinematic members, handles or elements to be externally gripped
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

The invention provides a substrate carrier and a lifting structure thereof. The substrate carrier comprises a containing space, a door plate, two cassette structures and a lifting structure. The accommodating space is formed by an opening, an upper plate, a lower plate, two side plates and a rear plate. The door plate is covered with the opening, the two cassette structures are respectively arranged between the upper plate and the lower plate and lean against the two side plates, and the lifting structure is arranged on the rear plate. The substrate carried in the lifting structure can be lifted by the lifting structure, so that the substrate is suspended and the shock absorbing effect is achieved.

Description

Substrate carrier and lifting structure thereof
Technical Field
The present invention relates to a substrate carrier and a lifting structure thereof, and more particularly to a substrate carrier and a lifting structure thereof capable of lifting and suspending a substrate carried therein after a door is closed.
Background
In the semiconductor industry, techniques for transporting semiconductor blanks or related plate-like sheets during various processes have been practiced for years. Among them, the Substrate (Substrate) is an indispensable base material for fabricating all semiconductor devices. Including common silicon substrates (e.g., wafers), glass substrates, ceramic substrates, and even sapphire substrates.
In the related process, the microstructure manufactured by the semiconductor process is basically a micro-scale or even a nano-scale device. Therefore, the process emphasizes that the operation is performed in a very precise environment, and that no minute particles adhere, which would otherwise result in a decrease in yield of the final semiconductor device.
Therefore, the carriers for carrying the devices required in the semiconductor process are required to have strict air tightness so as to prevent the tiny particles from adhering to the devices during carrying or storing, thereby affecting the yield of the semiconductor products. In addition, the devices carried therein are more resistant to impact or vibration, so as to avoid physical shaking or impact damage to the semiconductor devices such as the substrate.
In the prior art, a plate-like sheet element such as a substrate is lifted and fixed and vibration is avoided by using a pure groove guide angle. However, this technique has a disadvantage in that when the substrate is slid up along the lead angle to be engaged in the groove, since the substrate itself is mostly made of a material having a hardness greater than that of plastic, it is easy to scrape the lead angle during lifting and friction to generate minute particles.
Disclosure of Invention
Based on the problems mentioned in the prior art, the present invention provides a substrate carrier and a lifting structure thereof.
The lifting structure comprises a plurality of inclined supporting arms and at least one supporting piece. Wherein the plurality of diagonal support arms are disposed in a substrate carrier, and the at least one supporting member is connected to the plurality of diagonal support arms. The at least one supporting piece supports against at least one substrate placed in the substrate carrier, and the at least one supporting piece lifts the at least one substrate along with closing of a door plate of the substrate carrier.
In a possible embodiment of the present invention, the foregoing lifting structure may be disposed in the substrate carrier. In one embodiment of the present invention, a substrate carrier carrying a lifting structure includes a receiving space, a door, two cassette structures, and a lifting structure. The accommodating space is formed by an opening, an upper plate, a lower plate, two side plates and a rear plate. The door plate is covered with the opening, the two cassette structures are respectively arranged between the upper plate and the lower plate and lean against the two side plates, and the lifting structure is arranged on the rear plate. The lifting structure comprises a plurality of inclined supporting arms which are connected with the rear plate. In addition, at least one supporting piece is arranged as described above and is connected with the plurality of diagonal supporting arms. And at least one substrate is placed in the two cassette structures in sequence.
The foregoing has outlined rather broadly the several features of the present invention in order that the detailed description of the invention may be better understood. The summary of the invention is not an extensive overview of the invention, and is intended to neither identify key or critical elements of the invention nor delineate the scope of the invention, but merely to present several concepts of the invention in a simplified manner.
Drawings
FIG. 1 is a schematic view of a substrate carrier according to an embodiment of the invention;
FIG. 2 is a schematic view of a door panel according to an embodiment of the present invention;
FIG. 3 is a schematic view of a door panel according to an embodiment of the present invention;
FIG. 4 is a schematic cross-sectional view of a substrate carrier according to another embodiment of the invention;
FIG. 5 is a schematic cross-sectional view of a substrate carrier according to an embodiment of the invention;
FIG. 6 is a schematic view illustrating the operation of the lifting structure according to the embodiment of the present invention;
FIG. 7 is a schematic view of another operation of the lifting structure according to the embodiment of the present invention.
Reference numerals illustrate:
10. substrate carrier
100. Handle grip
101. An opening
102. Upper plate
103. Lower plate
1031. Inlet port
1032. Air outlet port
104. Side plate
105. Rear plate
106. Upright post
200. Cassette structure
300. Door plank
301. Clamping-proof piece structure
3011. Pressing piece
400. Lifting structure
401. Oblique support arm
402. Supporting piece
S substrate
A1-A3 arrow
Detailed Description
For an understanding of the technical features and practical functions of the present invention, and as may be implemented in accordance with the content of the present invention, the following detailed description will be given with reference to the preferred embodiments shown in the drawings, in which:
referring to fig. 1 and 3, fig. 1 is a schematic structural diagram of a substrate carrier according to an embodiment of the invention; fig. 3 is a schematic structural view of a door panel according to an embodiment of the present invention when the door panel is closed.
As shown in fig. 3, in the present embodiment, the substrate carrier 10 is a front opening unified pod (Front Opening Unified Pod, FOUP). However, in practice, other applications are contemplated as being within the scope of the invention, as may be practiced with other semiconductor containers having a structure similar to that of the invention, and the invention is not limited thereto.
In the present embodiment, at least one handle 100 is disposed on the appearance structure of the substrate carrier 10. Fig. 1 is a schematic view of the substrate carrier 10 after the door 300 of fig. 3 is opened. As shown in fig. 1 and 3, the substrate carrier 10 of the present embodiment includes a receiving space, a door 300, a two-cassette structure 200, and a lifting structure 400.
Wherein the accommodating space is formed by an opening 101, an upper plate 102, a lower plate 103, two side plates 104 and a rear plate 105. The door 300 is covered with the opening 101, the two cassette structures 200 are respectively erected between the upper plate 102 and the lower plate 103 and near the two side plates 104, and the lifting structure 400 is arranged on the rear plate 105.
Further, the two cassette structures 200 of the present embodiment are disposed between the upper plate 102 and the lower plate 103 via the pillars 106, and are adjacent to the two side plates 104. This increases the gas flow efficiency of the holding space of the substrate carrier 10.
Therefore, in the embodiment of the present invention, the lower plate 103 is further provided with at least one gas inlet 1031 and at least one gas outlet 1032 for exchanging the internal gas of the substrate carrier 10 and keeping clean gas circulation inlets and outlets.
The lifting structure 400 in this embodiment mainly includes a plurality of diagonal support arms 401 and at least one supporting member 402 (see fig. 4 and 5). Wherein, a plurality of diagonal support arms 401 are connected with the rear plate, and at least one supporting member 402 is connected with the plurality of diagonal support arms. At least one substrate S (see fig. 6 and 7) is placed in the two-cassette structure 200 in sequence.
In this embodiment, the at least one substrate S may be a wafer, a ceramic substrate, a plastic substrate or a glass substrate, which is not limited in the present invention. Referring to fig. 2, fig. 2 is a schematic view of a door panel according to an embodiment of the invention.
In this embodiment, the inner surface of the door panel 300 (i.e. the surface of the door panel 300 close to the accommodating space) is provided with an anti-clamping piece structure 301, and the anti-clamping piece structure 301 further includes a plurality of pressing pieces 3011. The plurality of pressing pieces 3011 are mutually in a lightning concave shape which are connected in a head-to-tail complementary mode and are used for receiving at least one substrate S. The pressing piece 3011 is designed to be concave in lightning, and the end to end is complementary, so that the risk of damage to the substrate S caused by clamping pieces generated by the substrate S can be avoided.
For a better understanding of the present invention, please refer to fig. 4 and 5, fig. 4 is a schematic cross-sectional view of a substrate carrier according to another embodiment of the present invention; FIG. 5 is a schematic cross-sectional view of a substrate carrier according to an embodiment of the invention. As shown in fig. 5, in the embodiment of fig. 5, at least one supporting member 402 is a plurality of L-shaped supporting pieces, which are sequentially disposed at the top ends of a plurality of diagonal supporting arms 401. In another embodiment of fig. 4, at least one of the holding members 402 has a strip-like structure.
Therefore, please refer to fig. 4-7 simultaneously. FIG. 6 is a schematic view illustrating the operation of the lifting structure according to the embodiment of the present invention; FIG. 7 is a schematic diagram illustrating another operation of the lifting structure according to the embodiment of the invention. As shown in fig. 4-7, when at least one substrate S is placed in the accommodating space of the substrate carrier 10, each substrate S is first placed in the cassette formed by the two-cassette structure 200 and abuts against at least one abutment member 402 at the rear side, so as to form the state shown in fig. 4 and 6.
Next, as shown in fig. 7, after both cassette structures 200 are fully filled with substrates S, the door 300 may be closed. When the door panel 300 is closed, the pressing piece 3011 is forced to push the substrate S in the direction of arrow A1. Then, the substrate S will conduct the force from the door 300, so that the plurality of diagonal support arms 401 will slightly deform along the direction of arrow A3.
Thereby, the at least one supporting member 402 can support the substrate S and lift the substrate S along the arrow A2, so as to achieve good protection and shock resistance. Through the application of the embodiment of the invention, the problems of damage to the semiconductor element and generation of tiny particles are greatly reduced.
The foregoing description is only illustrative of the preferred embodiments of the present invention, and is not intended to limit the scope of the invention, i.e., the invention is not limited to the specific embodiments described herein, but is intended to cover all modifications and variations within the scope of the invention as defined by the appended claims and their equivalents.

Claims (10)

1. A lifting structure, comprising:
a plurality of diagonal support arms disposed in a substrate carrier; and
at least one supporting piece connected with the plurality of inclined supporting arms;
wherein the at least one supporting member supports against at least one substrate placed in the substrate carrier,
the at least one supporting piece lifts the at least one substrate under the state that the at least one supporting piece is kept to be fixed against the at least one supporting piece along with the closing of a door plate of the substrate carrier.
2. The lift structure of claim 1, wherein the substrate carrier is a front opening unified pod.
3. The lift structure of claim 1, wherein the at least one substrate is a wafer, a ceramic substrate, a plastic substrate, or a glass substrate.
4. A substrate carrier, comprising:
the accommodating space is formed by an opening, an upper plate, a lower plate, two side plates and a rear plate;
a door plate which is covered with the opening;
the two cassette structures are respectively arranged between the upper plate and the lower plate and lean against the two side plates; and
a lifting structure disposed on the back plate, the lifting structure comprising:
a plurality of diagonal support arms connected to the back plate;
at least one supporting piece connected with the plurality of inclined supporting arms;
wherein, at least one substrate is placed in the two cassette structures in sequence;
the at least one supporting piece supports against at least one substrate placed in the substrate carrier, and the at least one supporting piece lifts the at least one substrate under the state that the at least one substrate supports against the at least one supporting piece to be fixed along with the closing of a door plate of the substrate carrier.
5. The substrate carrier of claim 4, wherein the door panel further comprises an anti-clip structure on a side of the door panel adjacent to the receiving space.
6. The substrate carrier of claim 5, wherein the anti-pinch feature comprises a plurality of abutment tabs that are lightning-in-socket complementary end-to-end with one another.
7. The substrate carrier of claim 4, wherein the substrate carrier further comprises at least one handle.
8. The substrate carrier of claim 4, wherein the at least one support member is an elongated structure.
9. The substrate carrier of claim 4, wherein the at least one supporting member is a plurality of L-shaped supporting pieces sequentially disposed on top of the plurality of diagonal supporting arms.
10. The substrate carrier of claim 4, wherein the lower plate further comprises at least one inlet port and at least one outlet port.
CN201910130970.6A 2019-01-02 2019-02-19 Substrate carrier and lifting structure thereof Active CN111403326B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
TW108100123 2019-01-02
TW108100123A TWI666159B (en) 2019-01-02 2019-01-02 Substrate carrier and suspending structure thereof

Publications (2)

Publication Number Publication Date
CN111403326A CN111403326A (en) 2020-07-10
CN111403326B true CN111403326B (en) 2023-08-29

Family

ID=68049648

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201910130970.6A Active CN111403326B (en) 2019-01-02 2019-02-19 Substrate carrier and lifting structure thereof

Country Status (2)

Country Link
CN (1) CN111403326B (en)
TW (1) TWI666159B (en)

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW581096U (en) * 2003-03-05 2004-03-21 Power Geode Technology Co Ltd Wafer carrier and grip lever apparatus thereof
CN102858653A (en) * 2010-05-24 2013-01-02 未来儿株式会社 Substrate storage container
WO2013183138A1 (en) * 2012-06-07 2013-12-12 ミライアル株式会社 Substrate housing container provided with impact absorbing function
TW201434116A (en) * 2013-02-25 2014-09-01 Gudeng Prec Ind Co Ltd Substrate storage container with restricting structure
JP2016072385A (en) * 2014-09-29 2016-05-09 株式会社Screenホールディングス Substrate housing container, load port device and substrate processing apparatus
TW201620808A (en) * 2014-09-05 2016-06-16 思可林集團股份有限公司 Substrate container, a load port apparatus, and a substrate treating apparatus

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004025721A1 (en) * 2002-09-11 2004-03-25 Shin-Etsu Polymer Co., Ltd. Substrate-storing container
US20070295638A1 (en) * 2006-06-21 2007-12-27 Vantec Co., Ltd. Wafer transportable container

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW581096U (en) * 2003-03-05 2004-03-21 Power Geode Technology Co Ltd Wafer carrier and grip lever apparatus thereof
CN102858653A (en) * 2010-05-24 2013-01-02 未来儿株式会社 Substrate storage container
WO2013183138A1 (en) * 2012-06-07 2013-12-12 ミライアル株式会社 Substrate housing container provided with impact absorbing function
TW201434116A (en) * 2013-02-25 2014-09-01 Gudeng Prec Ind Co Ltd Substrate storage container with restricting structure
TW201620808A (en) * 2014-09-05 2016-06-16 思可林集團股份有限公司 Substrate container, a load port apparatus, and a substrate treating apparatus
JP2016072385A (en) * 2014-09-29 2016-05-09 株式会社Screenホールディングス Substrate housing container, load port device and substrate processing apparatus

Also Published As

Publication number Publication date
TW202026222A (en) 2020-07-16
TWI666159B (en) 2019-07-21
CN111403326A (en) 2020-07-10

Similar Documents

Publication Publication Date Title
JP2918780B2 (en) Alignment of shadow frames and large planar substrates on heated supports
KR102327286B1 (en) System and method for bi-facial processing of substrates
TWI650831B (en) Substrate support device with reduced substrate particles
US9525099B2 (en) Dual-mask arrangement for solar cell fabrication
EP2852469B1 (en) System architecture for vacuum processing
US20070215437A1 (en) Gas Bearing Substrate-Loading Mechanism Process
TWI677051B (en) Wafer boat support table and heat treatment apparatus using the same
TW201514073A (en) Wafer container and method of manufacture
KR20120024568A (en) System and methods for handling wafers
WO2016205191A1 (en) Flow modification fixture for an equipment front end module
TWI824149B (en) Process kit enclosure system
US11521876B2 (en) Horizontal substrate boat
US20150179489A1 (en) Substrate Processing Module, Substrate Processing Apparatus Including the same, and Substrate Transferring Method
KR20180035663A (en) Substrate alignment apparatus, substrate processing apparatus, substrate arrangement apparatus, substrate alignment method, substrate processing method, and substrate arrangement method
US11387124B2 (en) Wafer container and method for holding wafer
CN111403326B (en) Substrate carrier and lifting structure thereof
WO2021017043A1 (en) Loading and unloading equipment for electronic trays
Giesen et al. Advanced production challenges for automated ultra-thin wafer handling
US20140341682A1 (en) Substrate processing module and substrate processing apparatus including the same
CN108666231B (en) Substrate processing system, substrate transfer apparatus and transfer method
JP6451527B2 (en) Mini-environment device
JP2977153B2 (en) Wafer transfer equipment
JP6823575B2 (en) Manufacturing method for substrate processing equipment, reaction tubes and semiconductor equipment
CN105336651B (en) Chip transposition system
KR101681192B1 (en) Transfer robot

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant