CN111029387A - Manufacturing method of OLED substrate, display device and mask plate - Google Patents

Manufacturing method of OLED substrate, display device and mask plate Download PDF

Info

Publication number
CN111029387A
CN111029387A CN201911323538.5A CN201911323538A CN111029387A CN 111029387 A CN111029387 A CN 111029387A CN 201911323538 A CN201911323538 A CN 201911323538A CN 111029387 A CN111029387 A CN 111029387A
Authority
CN
China
Prior art keywords
substrate
light transmittance
oled
layer
planarization layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
CN201911323538.5A
Other languages
Chinese (zh)
Inventor
王梦奇
张顺
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
BOE Technology Group Co Ltd
Chengdu BOE Optoelectronics Technology Co Ltd
Original Assignee
BOE Technology Group Co Ltd
Chengdu BOE Optoelectronics Technology Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by BOE Technology Group Co Ltd, Chengdu BOE Optoelectronics Technology Co Ltd filed Critical BOE Technology Group Co Ltd
Priority to CN201911323538.5A priority Critical patent/CN111029387A/en
Publication of CN111029387A publication Critical patent/CN111029387A/en
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K59/00Integrated devices, or assemblies of multiple devices, comprising at least one organic light-emitting element covered by group H10K50/00
    • H10K59/10OLED displays
    • H10K59/12Active-matrix OLED [AMOLED] displays
    • H10K59/131Interconnections, e.g. wiring lines or terminals
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K50/00Organic light-emitting devices
    • H10K50/80Constructional details
    • H10K50/805Electrodes
    • H10K50/81Anodes
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Electroluminescent Light Sources (AREA)

Abstract

The invention discloses a manufacturing method of an OLED substrate, the OLED substrate, a display device and a mask plate, wherein the manufacturing method comprises the following steps: forming a metal wiring material layer on a first substrate; patterning the metal wiring material layer to form metal wirings; forming a planarization material layer on the metal routing and the exposed first substrate; the patterning planarization material layer forms a first planarization layer covering the metal routing and a second planarization layer covering the exposed first substrate, so that the surface of the second planarization layer, which is back to the first substrate, is flush with the surface of the first planarization layer, which is back to the first substrate; forming an OLED anode at least partially overlying the first and second planarizing layers. The embodiment of the invention can ensure the flatness of the planarization layer of the OLED substrate, thereby ensuring the flatness of the OLED anode formed on the planarization layer and effectively improving the color cast problem of the display device.

Description

Manufacturing method of OLED substrate, display device and mask plate
Technical Field
The invention relates to the technical field of display, in particular to a manufacturing method of an OLED substrate, the OLED substrate, a display device and a mask plate.
Background
With the development of OLED technology, the requirements for display effect are higher and higher. The OLED display product has various performance specifications such as power consumption, brightness, color coordinates and the like, and color cast is an important parameter. There are many factors that affect the color shift of the product, and from the viewpoint of substrate design, the flatness of the planarization layer has a great influence on the color shift.
Disclosure of Invention
In order to solve at least one of the above problems, a first embodiment of the present invention provides a method for fabricating an OLED substrate, including
Forming a metal wiring material layer on a first substrate;
patterning the metal wiring material layer to form metal wirings;
forming a planarization material layer on the metal routing and the exposed first substrate;
patterning the planarization material layer to form a first planarization layer covering the metal routing and a second planarization layer covering the exposed first substrate, so that the surface of the second planarization layer, which faces away from the first substrate, is flush with the surface of the first planarization layer, which faces away from the first substrate;
forming an OLED anode at least partially overlying the first and second planarizing layers.
Further, the patterning the planarization material layer to form a first planarization layer covering the metal traces and a second planarization layer covering the exposed first substrate, such that a surface of the second planarization layer facing away from the first substrate and a surface of the first planarization layer facing away from the first substrate are flush comprises:
patterning the planarization material layer by using a mask plate, wherein the mask plate comprises a first light transmittance region and a second light transmittance region, the orthographic projection of the first light transmittance region on the OLED substrate corresponds to the orthographic projection of a first planarization layer to be formed on the OLED substrate, the orthographic projection of the second light transmittance region on the OLED substrate corresponds to the orthographic projection of a second planarization layer to be formed on the OLED substrate, and the light transmittance of the first light transmittance region is greater than that of the second light transmittance region so as to form the first planarization layer and the second planarization layer.
Further, before the forming an OLED anode at least partially covering the first and second planarizing layers, the method further comprises:
and forming a via hole penetrating through the first planarization layer, so that the OLED anode to be formed is electrically connected with the metal routing wire through the via hole.
Further, the forming a via penetrating through the first planarization layer for electrically connecting the OLED anode to be formed with the metal trace through the via includes:
patterning the planarization material layer by using a mask plate, wherein the mask plate further comprises a third light transmittance area located in the first light transmittance area, the orthographic projection of the third light transmittance area on the OLED substrate corresponds to the orthographic projection of a via hole to be formed on the OLED substrate, and the light transmittance of the third light transmittance area is 100% to form the via hole.
In a second embodiment, the invention provides an OLED substrate comprising
A first substrate;
a metal trace formed on the first substrate;
a first planarization layer covering the metal trace;
a second planarization layer covering the exposed first substrate, wherein the surface of the second planarization layer, which faces away from the first substrate, is flush with the surface of the first planarization layer, which faces away from the first substrate;
an OLED anode formed on the first and second planarizing layers, the OLED anode at least partially covering the first and second planarizing layers.
Further, the first planarization layer and the second planarization layer are disposed in the same layer.
Furthermore, the OLED device also comprises a via hole penetrating through the first planarization layer, and the OLED anode is electrically connected with the metal routing wire through the via hole.
Further, the first substrate comprises a substrate, and a buffer layer, an active layer, a gate insulating layer, a gate electrode and an interlayer insulating layer which are sequentially formed in a stacking manner in a direction away from the substrate;
the metal routing is formed on the surface of the interlayer insulating layer, which is opposite to the grid electrode.
A third embodiment of the present invention provides a display device, comprising the OLED substrate according to the second embodiment.
A fourth embodiment of the present invention provides a mask blank characterized by comprising a central area and a peripheral area surrounding the central area, wherein
The central region comprises a first light transmittance region and a second light transmittance region, and the light transmittance of the first light transmittance region is greater than that of the second light transmittance region;
the light transmittance of the peripheral region is greater than that of the second light transmittance region.
Further, the light-transmitting device further comprises a third light-transmitting area located in the first light-transmitting area, and the light transmission of the third light-transmitting area is 100%.
The invention has the following beneficial effects:
aiming at the existing problems, the invention provides a manufacturing method of an OLED substrate, the OLED substrate, a display device and a mask plate, and the surface of the second planarization layer, which is back to the first substrate, is flush with the surface of the first planarization layer, which is back to the first substrate, by arranging the first planarization layer and the second planarization layer with different thicknesses, so that the flatness of the planarization layer is ensured, the flatness of an OLED anode formed on the planarization layer is further ensured, the problems in the prior art can be solved, the color cast problem of the display device is effectively improved, the display effect of the display device is improved, and the display device has wide application prospect.
Drawings
In order to more clearly illustrate the technical solutions in the embodiments of the present invention, the drawings needed to be used in the description of the embodiments will be briefly introduced below, and it is obvious that the drawings in the following description are only some embodiments of the present invention, and it is obvious for those skilled in the art to obtain other drawings based on these drawings without creative efforts.
FIG. 1 shows a schematic structural diagram of an OLED substrate in the prior art;
FIG. 2 is a flow chart of a method of fabricating an OLED substrate according to one embodiment of the present invention;
FIG. 3 is a schematic structural diagram of an OLED substrate according to one embodiment of the present invention;
FIG. 4 illustrates a top view of a mask blank for fabricating a planarization layer, in accordance with one embodiment of the present invention;
fig. 5 shows a schematic structural diagram of an OLED substrate according to another embodiment of the present invention.
Detailed Description
In order to more clearly illustrate the invention, the invention is further described below with reference to preferred embodiments and the accompanying drawings. Similar parts in the figures are denoted by the same reference numerals. It is to be understood by persons skilled in the art that the following detailed description is illustrative and not restrictive, and is not to be taken as limiting the scope of the invention.
It is noted that references herein to "on … …", "formed on … …" and "disposed on … …" can mean that one layer is formed or disposed directly on another layer or that one layer is formed or disposed indirectly on another layer, i.e., there is another layer between the two layers. As used herein, unless otherwise specified, the term "on the same layer" means that two layers, components, members, elements or portions can be formed by the same patterning process, and the two layers, components, members, elements or portions are generally formed of the same material. Herein, unless otherwise specified, the expression "patterning process" generally includes the steps of coating of photoresist, exposure, development, etching, stripping of photoresist, and the like. The expression "one-time patterning process" means a process of forming a patterned layer, member, or the like using one mask plate.
In the prior art, as shown in fig. 1, since the thickness of the metal trace 11 of the source and the drain layer is large, at the position of the metal trace 11 of the source and the drain, a "bump" may also appear on the upper portion of the planarization layer 12, so that the formation and the OLED anode 13 on the planarization layer may be "tilted", and finally, the light emitting intensity of the left and the right sides of a single pixel may be inconsistent. The current common solution is to supplement the positions near the source and drain metal traces 11 to ensure the flatness of the pixel anode, so as to ensure the uniform light emission intensity of the OLED anode 13 in all directions. However, this method of changing color shift is prone to cause more complicated parasitic capacitance, which affects the circuit and increases the difficulty of circuit wiring.
In order to solve the problems in the prior art, the inventors of the present application have developed a method for manufacturing an OLED substrate for a long time and have made a large number of experiments. As shown in fig. 2, an embodiment of the invention provides a method for manufacturing an OLED substrate, including forming a metal trace material layer on a first substrate; patterning the metal wiring material layer to form metal wirings; forming a planarization material layer on the metal routing and the exposed first substrate; patterning the planarization material layer to form a first planarization layer covering the metal routing and a second planarization layer covering the exposed first substrate, so that the surface of the second planarization layer, which faces away from the first substrate, is flush with the surface of the first planarization layer, which faces away from the first substrate; forming an OLED anode at least partially overlying the first and second planarizing layers.
In a specific example, as shown in fig. 3, the manufacturing method specifically includes:
a first substrate 10 is formed.
In this embodiment, a buffer layer, an active layer, a gate insulating layer, a gate electrode, and an interlayer insulating layer are sequentially stacked on a substrate in a direction away from the substrate. It should be noted that, the film structure of the first substrate is not limited in the present application, and those skilled in the art should select an appropriate film structure according to the actual application requirement, which is not described herein again.
A metal trace material layer is formed on the first substrate 10.
In this embodiment, a layer of metal wiring material is formed on the first substrate 10, and the metal wiring material covers the first substrate 10.
And patterning the metal wiring material layer to form the metal wiring 11.
In this embodiment, the metal trace material layer is patterned by a mask plate for fabricating metal traces to form the metal traces 11.
And forming a planarization material layer on the metal traces 11 and the exposed first substrate 10.
In this embodiment, the planarization material layer covers the metal traces 11 and the exposed first substrate 10.
Patterning the planarization material layer to form a first planarization layer 121 covering the metal traces 11 and a second planarization layer 122 covering the first substrate 10, so that the surface of the second planarization layer 122 facing away from the first substrate 10 is flush with the surface of the first planarization layer 121 facing away from the first substrate 10.
In the present embodiment, the first planarizing layer and the second planarizing layer are formed by patterning the planarizing material layer through a mask plate as shown in fig. 4. Specifically, a first planarization layer 121 covering the metal traces 11 is formed through the first light transmittance region 21 of the mask, and a second planarization layer 122 covering the first substrate 10 is formed through the second light transmittance region 22 of the mask, that is, a first planarization layer 121 and a second planarization layer facing away from the surface of the first substrate 10 are formed through masks having different light transmittance regions, that is, the thickness of the second planarization layer 122 is equal to the thickness of the first planarization layer 121 plus the thickness of the metal traces 10.
Forming an OLED anode on the first and second planarizing layers, the OLED anode at least partially covering the first and second planarizing layers.
In this embodiment, an OLED anode is formed on the first and second planarization layers by fabricating a mask plate for the OLED anode, and the OLED anode at least partially covers the first and second planarization layers, thereby ensuring the flatness of the OLED anode. It should be noted that the OLED anode may completely cover the first planarization layer or partially cover the first planarization layer, and the area of the OLED anode should be set according to the actual application requirement, which is not described herein again.
And forming an OLED light emitting layer and a cathode on the OLED anode.
In this embodiment, the OLED light emitting layer and cathode are formed using conventional process steps.
And finishing the manufacture of the OLED substrate.
In an optional embodiment, the patterning the planarization material layer to form a first planarization layer covering the metal trace and a second planarization layer covering the exposed first substrate specifically includes: patterning the planarization material layer by using a mask plate, wherein the mask plate comprises a first light transmittance region and a second light transmittance region, the orthographic projection of the first light transmittance region on the OLED substrate corresponds to the orthographic projection of a first planarization layer to be formed on the OLED substrate, the orthographic projection of the second light transmittance region on the OLED substrate corresponds to the orthographic projection of a second planarization layer to be formed on the OLED substrate, and the light transmittance of the first light transmittance region is greater than that of the second light transmittance region so as to form the first planarization layer and the second planarization layer.
In this embodiment, as shown in fig. 3 and 4, the mask blank 20 is a halftone mask blank, and has a first light transmittance region 21 and a second light transmittance region 22 with different light transmittances, and the planarization material layer is patterned by the first light transmittance region 21 and the second light transmittance region 22 to form a first planarization layer 121 covering the metal traces 11 and a second planarization layer 122 covering the exposed first substrate 10, where the light transmittance of the first light transmittance region 21 is greater than 1% and less than 10%, the light transmittance of the second light transmittance region 22 is 0, and a difference between thicknesses of the first planarization layer 121 and the second planarization layer 122 during patterning is a thickness of the metal traces 11, so as to ensure the planarization of the planarization layer. I.e. so that the surface of the second planarizing layer facing away from the first substrate is flush with the surface of the first planarizing layer facing away from the first substrate. According to the embodiment, the first planarization layer and the second planarization layer are formed simultaneously in one process step through the mask plate, so that the preparation steps are effectively simplified.
In view of the electrical connection between the OLED anode and the metal trace, in an alternative embodiment, before the forming the OLED anode at least partially covering the first and second planarizing layers, the method further comprises: and forming a via hole penetrating through the first planarization layer, so that the OLED anode to be formed is electrically connected with the metal routing wire through the via hole.
In the embodiment, the electrical connection between the anode of the OLED and the metal trace is realized through the via hole penetrating through the first planarization layer, so that the circuit wiring of the OLED substrate is simplified.
In view of simplifying the manufacturing steps, in an alternative embodiment, the forming the via penetrating through the first planarization layer specifically includes: patterning the planarization material layer by using a mask plate, wherein the mask plate further comprises a third light transmittance area located in the first light transmittance area, the orthographic projection of the third light transmittance area on the OLED substrate corresponds to the orthographic projection of a via hole to be formed on the OLED substrate, and the light transmittance of the third light transmittance area is 100% to form the via hole.
Similar to the previous embodiment, in the present embodiment, as shown in fig. 4 and 5, the mask plate 20 further includes a third light transmittance region 23 in the first light transmittance region 21, and the light transmittance of the third light transmittance region 23 is 100%, so that a via hole 123 penetrating through the first planarization layer 121 is formed in the patterning process, so that the OLED anode 13 to be formed is electrically connected to the metal trace 11 through the via hole 123. In the embodiment, the first planarization layer, the second planarization layer and the via hole penetrating through the first planarization layer are simultaneously formed in one process step through the mask plate, so that the electrical connection between the to-be-formed OLED anode and the metal wiring is realized on the basis of ensuring the surface of the first planarization layer and the surface of the second planarization layer away from the first substrate to be flush, and the preparation steps are effectively simplified.
Corresponding to the method for manufacturing the OLED substrate provided in the foregoing embodiments, an embodiment of the present application further provides an OLED substrate manufactured by using the method for manufacturing the OLED substrate, and since the OLED substrate provided in the embodiment of the present application corresponds to the methods for manufacturing the OLED substrates provided in the foregoing embodiments, the foregoing embodiments are also applicable to the OLED substrate provided in this embodiment, and detailed description is not provided in this embodiment.
As shown in fig. 3, an embodiment of the present invention provides an OLED substrate including a first substrate 10; a metal trace 11 formed on the first substrate 10; a first planarization layer 121 covering the metal trace 11; a second planarization layer 122 covering the exposed first substrate 10, wherein the surface of the second planarization layer 122 facing away from the first substrate 10 is flush with the surface of the first planarization layer 121 facing away from the first substrate 10; an OLED anode 13 formed on the first and second planarizing layers 121 and 122, the OLED anode 13 at least partially covering the first and second planarizing layers 121 and 122.
According to the OLED substrate provided in this embodiment, the first planarization layer 121 and the second planarization layer 122 respectively cover the metal routing lines 11 and the first substrate 10, so as to achieve the flatness of the whole planarization layer, that is, the thickness of the metal routing lines 11 is aligned by using the difference between the thickness of the first planarization layer 121 and the thickness of the second planarization layer 122, thereby ensuring the flatness of the planarization layer, so that the OLED anodes formed on the planarization layer have flatness. In other words, the OLED anode does not have unevenness due to the fact that the bottom of the OLED anode corresponds to the metal routing wire, and therefore the problem of uneven brightness of each pixel of the OLED substrate due to the fact that the bottom of the OLED anode corresponds to the unevenness is solved, the problem of color cast of the display device in the prior art is solved, and the display effect of the display device is improved.
In an alternative embodiment, the first and second planarizing layers are disposed in the same layer.
In this embodiment, the first planarizing layer and the second planarizing layer are made of the same material and have different thicknesses, and in the preparation process, after the metal traces are formed, a planarizing material layer is formed on the metal traces and the exposed first substrate, and then the first planarizing layer and the second planarizing layer are respectively formed by the mask plates with different light transmittances.
It is to be noted that the term "disposed on the same layer" used in the present embodiment means that two layers are formed by the same manufacturing process (e.g., patterning process, etc.), and the two layers are generally formed of the same material. For example, two or more functional layers are arranged in the same layer, which means that the functional layers arranged in the same layer can be formed by using the same material layer and using the same manufacturing process, so that the manufacturing process of the display substrate can be simplified.
Fig. 4 is a mask plate 20 for preparing a first planarizing layer and a second planarizing layer, where the mask plate has different transmittances corresponding to the first planarizing layer and the second planarizing layer, so as to form the first planarizing layer and the second planarizing layer with different thicknesses in one patterning process. For a specific implementation process, reference is made to the foregoing embodiment, which is not described herein again.
In view of the electrical connection between the OLED anode and the metal trace, in an alternative embodiment, the OLED substrate further includes a via penetrating through the first planarization layer, and the OLED anode is electrically connected to the metal trace through the via.
As shown in fig. 5, in the present embodiment, the OLED anode 13 is electrically connected to a metal trace, which is a drain electrode of a thin film transistor driving the OLED, through a via 123 penetrating through the first planarization layer 121. As shown in fig. 4, on the mask plate, a third light transmittance region 23 is further disposed on the first light transmittance region 21, and a light transmittance of the third light transmittance region 23 is 100%, that is, the third light transmittance region corresponds to the via hole 123 of the first planarization layer 121.
In view of the general structure of the OLED substrate, in an alternative embodiment, the first substrate includes a substrate, and a buffer layer, an active layer, a gate insulating layer, a gate electrode, and an interlayer insulating layer sequentially stacked in a direction away from the substrate; the metal routing is formed on the surface of the interlayer insulating layer, which is opposite to the grid electrode.
In this embodiment, the first substrate includes a substrate and a thin film transistor driving the OLED, the thin film transistor includes functional film layers, such as an active layer, a gate insulating layer, a gate electrode, and an interlayer insulating layer, the metal trace in the above embodiment is a source or a drain of the thin film transistor, and the source and the drain are formed on the interlayer insulating layer.
Corresponding to the above-mentioned method for manufacturing the OLED substrate and the OLED substrate, as shown in fig. 4, an embodiment of the present application further provides a mask plate 20, which includes a central area and a peripheral area (not shown in the figure) surrounding the central area, wherein the central area includes a first light transmittance area 21 and a second light transmittance area 22, and a light transmittance of the first light transmittance area 21 is greater than a light transmittance of the second light transmittance area 22; the light transmittance of the peripheral region is greater than that of the second light transmittance region
In this embodiment, as shown in fig. 4, the light transmittance of the first light transmittance region 21 is greater than 1% and less than 10%, the light transmittance of the second light transmittance region 22 is 0, the light transmittance of the peripheral region is 19%, and the mask plate forms corresponding structures with different thicknesses through regions with different light transmittances in the patterning process.
In the above method for manufacturing an OLED substrate, the central region corresponds to the display region of the OLED substrate, and in the patterning process, the planarization material layer is patterned by using the mask having the regions with different light transmittances, and the first planarization layer and the second planarization layer having a thickness difference are formed by the first light transmittance region and the second light transmittance region with different light transmittances, in other words, the thickness difference between the first planarization layer and the second planarization layer is formed by the light transmittance difference between the first light transmittance region and the second light transmittance region. In this embodiment, the thickness difference between the first planarization layer and the second planarization layer is the thickness of the metal routing line, so that the surface of the first planarization layer and the surface of the second planarization layer, which faces away from the first substrate, are flush, and the flatness of the planarization layer of the OLED substrate is realized.
Meanwhile, the peripheral area corresponds to a non-display area of the OLED substrate, such as a driving circuit and a connecting line surrounding the display area, and the light transmittance of the peripheral area is patterned by considering the thickness of the metal routing line and the connecting line of the driving circuit, so as to further improve the overall flatness of the OLED substrate. The light transmittance of the peripheral area corresponds to the thickness of the metal wires and the connecting wires of the driving circuit, if the thickness of the metal wires and the connecting wires of the driving circuit is larger, the light transmittance of the light transmittance area is larger, and vice versa
It should be noted that this embodiment is only used to illustrate a specific embodiment of the present application, and the light transmittance of each region of the mask plate is not specifically limited, and a person skilled in the art should set the light transmittance of the mask plate in the non-display region according to the actual application requirement, so as to achieve the overall flatness of the OLED substrate as the design criterion, which is not described herein again.
In an alternative embodiment, as shown in fig. 4, the mask plate further includes a third transmittance region 23 located in the first transmittance region 21, and the transmittance of the third transmittance region 23 is 100%.
In the present embodiment, a structure having an opening, a via hole, or the like is formed by a light transmittance region having a light transmittance of 100% in the patterning process.
Still taking the manufacturing method of the OLED substrate as an example for explanation, in consideration of the electrical connection between the OLED anode and the metal trace, in the patterning process, as shown in fig. 5, a via hole 123 penetrating through the first planarization layer 121 is formed on the first planarization layer 121 through a third light transmittance region with a light transmittance of 100% on the mask plate, and the OLED anode 13 is electrically connected to the metal trace 11 through the via hole 123.
Based on the OLED substrate of the above embodiment, an embodiment of the present application further provides a display panel including the above OLED substrate. Further, another embodiment of the present application further provides a display device, where the display device includes the above display panel or the above OLED substrate, and the display device may be any product or component with a display function, such as a mobile phone, a tablet computer, a television, a display, a notebook computer, a digital photo frame, and a navigator.
Aiming at the existing problems, the invention provides a manufacturing method of an OLED substrate, the OLED substrate, a display device and a mask plate, and the surface of the second planarization layer, which is back to the first substrate, is flush with the surface of the first planarization layer, which is back to the first substrate, by arranging the first planarization layer and the second planarization layer with different thicknesses, so that the flatness of the planarization layer is ensured, the flatness of an OLED anode formed on the planarization layer is further ensured, the problems in the prior art can be solved, the color cast problem of the display device is effectively improved, the display effect of the display device is improved, and the display device has wide application prospect.
It should be understood that the above-mentioned embodiments of the present invention are only examples for clearly illustrating the present invention, and are not intended to limit the embodiments of the present invention, and it will be obvious to those skilled in the art that other variations or modifications may be made on the basis of the above description, and all embodiments may not be exhaustive, and all obvious variations or modifications may be included within the scope of the present invention.

Claims (11)

1. The manufacturing method of the OLED substrate is characterized by comprising
Forming a metal wiring material layer on a first substrate;
patterning the metal wiring material layer to form metal wirings;
forming a planarization material layer on the metal routing and the exposed first substrate;
patterning the planarization material layer to form a first planarization layer covering the metal routing and a second planarization layer covering the exposed first substrate, so that the surface of the second planarization layer, which faces away from the first substrate, is flush with the surface of the first planarization layer, which faces away from the first substrate;
forming an OLED anode at least partially overlying the first and second planarizing layers.
2. The method of claim 1, wherein the patterning the planarization material layer to form a first planarization layer covering the metal traces and a second planarization layer covering the exposed first substrate such that a surface of the second planarization layer facing away from the first substrate and a surface of the first planarization layer facing away from the first substrate are flush comprises:
patterning the planarization material layer by using a mask plate, wherein the mask plate comprises a first light transmittance region and a second light transmittance region, the orthographic projection of the first light transmittance region on the OLED substrate corresponds to the orthographic projection of a first planarization layer to be formed on the OLED substrate, the orthographic projection of the second light transmittance region on the OLED substrate corresponds to the orthographic projection of a second planarization layer to be formed on the OLED substrate, and the light transmittance of the first light transmittance region is greater than that of the second light transmittance region so as to form the first planarization layer and the second planarization layer.
3. The method of claim 2, wherein prior to said forming an OLED anode at least partially covering said first and second planarizing layers, said method further comprises:
and forming a via hole penetrating through the first planarization layer, so that the OLED anode to be formed is electrically connected with the metal routing wire through the via hole.
4. The method of claim 3, wherein the forming a via through the first planarization layer for electrically connecting the OLED anode to be formed with the metal trace through the via comprises:
patterning the planarization material layer by using a mask plate, wherein the mask plate further comprises a third light transmittance area located in the first light transmittance area, the orthographic projection of the third light transmittance area on the OLED substrate corresponds to the orthographic projection of a via hole to be formed on the OLED substrate, and the light transmittance of the third light transmittance area is 100% to form the via hole.
5. An OLED substrate is characterized by comprising
A first substrate;
a metal trace formed on the first substrate;
a first planarization layer covering the metal trace;
a second planarization layer covering the exposed first substrate, wherein the surface of the second planarization layer, which faces away from the first substrate, is flush with the surface of the first planarization layer, which faces away from the first substrate;
an OLED anode formed on the first and second planarizing layers, the OLED anode at least partially covering the first and second planarizing layers.
6. The OLED substrate of claim 5, wherein the first planarizing layer and the second planarizing layer are disposed in the same layer.
7. The OLED substrate of claim 5 or 6, further comprising a via through the first planarization layer, the OLED anode being electrically connected to the metal trace through the via.
8. The OLED substrate according to claim 7, wherein the first substrate comprises a substrate, and a buffer layer, an active layer, a gate insulating layer, a gate electrode and an interlayer insulating layer which are sequentially stacked in a direction away from the substrate;
the metal routing is formed on the surface of the interlayer insulating layer, which is opposite to the grid electrode.
9. A display device comprising an OLED substrate according to any one of claims 5 to 8.
10. A mask blank comprising a central area and a peripheral area surrounding the central area, wherein
The central region comprises a first light transmittance region and a second light transmittance region, and the light transmittance of the first light transmittance region is greater than that of the second light transmittance region;
the light transmittance of the peripheral region is greater than that of the second light transmittance region.
11. The mask blank according to claim 10, further comprising a third transmittance region in the first transmittance region, the third transmittance region having a transmittance of 100%.
CN201911323538.5A 2019-12-20 2019-12-20 Manufacturing method of OLED substrate, display device and mask plate Withdrawn CN111029387A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201911323538.5A CN111029387A (en) 2019-12-20 2019-12-20 Manufacturing method of OLED substrate, display device and mask plate

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201911323538.5A CN111029387A (en) 2019-12-20 2019-12-20 Manufacturing method of OLED substrate, display device and mask plate

Publications (1)

Publication Number Publication Date
CN111029387A true CN111029387A (en) 2020-04-17

Family

ID=70212629

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201911323538.5A Withdrawn CN111029387A (en) 2019-12-20 2019-12-20 Manufacturing method of OLED substrate, display device and mask plate

Country Status (1)

Country Link
CN (1) CN111029387A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US12002424B2 (en) 2021-04-30 2024-06-04 Chengdu Boe Optoelectronics Technology Co., Ltd. Display substrate and display device

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106816558A (en) * 2017-04-14 2017-06-09 京东方科技集团股份有限公司 Top radiation organic EL display panel, its preparation method and display device
CN110021654A (en) * 2019-04-24 2019-07-16 京东方科技集团股份有限公司 A kind of display base plate and preparation method thereof, display device
CN110114882A (en) * 2019-03-27 2019-08-09 京东方科技集团股份有限公司 Display base plate, display device, mask plate and manufacturing method

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106816558A (en) * 2017-04-14 2017-06-09 京东方科技集团股份有限公司 Top radiation organic EL display panel, its preparation method and display device
CN110114882A (en) * 2019-03-27 2019-08-09 京东方科技集团股份有限公司 Display base plate, display device, mask plate and manufacturing method
CN110021654A (en) * 2019-04-24 2019-07-16 京东方科技集团股份有限公司 A kind of display base plate and preparation method thereof, display device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US12002424B2 (en) 2021-04-30 2024-06-04 Chengdu Boe Optoelectronics Technology Co., Ltd. Display substrate and display device

Similar Documents

Publication Publication Date Title
CN109713162B (en) Display panel and display device
CN108695370B (en) OLED substrate, manufacturing method and display device
WO2020216208A1 (en) Display substrate, manufacturing method thereof and display device
CN111146215B (en) Array substrate, manufacturing method thereof and display device
CN114551555A (en) Array substrate, manufacturing method thereof, display panel and display device
CN106019751B (en) Array substrate, manufacturing method thereof and display device
WO2021022594A1 (en) Array substrate, display panel, and manufacturing method of array substrate
EP3459116B1 (en) Pixel unit, array substrate, and display device, and fabrication methods thereof
CN110556406A (en) OLED display panel and preparation method thereof
US20220293692A1 (en) Array substrate, method for manufacturing the same, display panel and display device
CN111785760A (en) Display substrate, preparation method thereof and display device
CN110690234A (en) Display back plate, manufacturing method thereof and display device
WO2023024256A1 (en) Array substrate and manufacturing method therefor, and display device
CN111403440A (en) Display panel, preparation method and display device
CN111509019A (en) Display substrate, manufacturing method thereof and display device
CN110797380A (en) Display substrate, manufacturing method thereof and display device
US20220336552A1 (en) Display substrates and methods of manufacturing the same, display panels, and display apparatuses
WO2024103788A1 (en) Display panel and display device
CN111029387A (en) Manufacturing method of OLED substrate, display device and mask plate
CN110767539B (en) Display substrate, manufacturing method thereof and display device
US11818920B2 (en) Display panel and manufacturing method thereof, display device
CN217035640U (en) Display panel and display device
CN111312753A (en) Method for improving aperture opening ratio of back plate, manufacturing method, back plate and display panel
CN116581131B (en) Array substrate, preparation method thereof and display panel
CN111864113B (en) Display back plate, manufacturing method thereof and display panel

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
WW01 Invention patent application withdrawn after publication

Application publication date: 20200417

WW01 Invention patent application withdrawn after publication