CN110957270A - Semiconductor device and method of manufacture - Google Patents

Semiconductor device and method of manufacture Download PDF

Info

Publication number
CN110957270A
CN110957270A CN201910333651.5A CN201910333651A CN110957270A CN 110957270 A CN110957270 A CN 110957270A CN 201910333651 A CN201910333651 A CN 201910333651A CN 110957270 A CN110957270 A CN 110957270A
Authority
CN
China
Prior art keywords
work function
gate
region
function layer
gate stack
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201910333651.5A
Other languages
Chinese (zh)
Other versions
CN110957270B (en
Inventor
吴仲强
蔡昕翰
李威缙
李家庆
钟鸿钦
洪正隆
李达元
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN110957270A publication Critical patent/CN110957270A/en
Application granted granted Critical
Publication of CN110957270B publication Critical patent/CN110957270B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82345MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823462MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • H01L27/0617Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • H01L29/4958Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo with a multiple layer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • H01L21/823425MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures manufacturing common source or drain regions between a plurality of conductor-insulator-semiconductor structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01042Molybdenum [Mo]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01074Tungsten [W]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/049Nitrides composed of metals from groups of the periodic table
    • H01L2924/04944th Group
    • H01L2924/04941TiN

Abstract

The present disclosure relates to semiconductor devices and methods of manufacture. Semiconductor devices having different threshold voltages and methods of fabricating semiconductor devices are provided. In an embodiment, the threshold voltage of the individual semiconductor devices is tuned by removing and placing different materials within each individual gate stack within a replacement gate process, wherein the removal and placement helps to keep the entire process window for the fill material large enough to allow complete fill.

Description

Semiconductor device and method of manufacture
Technical Field
The invention relates to a semiconductor device and a manufacturing method.
Background
Semiconductor devices are used in various electronic applications such as personal computers, cellular phones, digital cameras, and other electronic devices. Semiconductor devices are typically manufactured by: layers of insulating or dielectric, conductive, and semiconductor materials are sequentially deposited over a semiconductor substrate, and photolithography is used to pattern the various material layers to form circuit components and elements thereon.
The semiconductor industry continues to improve the integration density of various electronic components (e.g., transistors, diodes, resistors, capacitors, etc.) by continually reducing the minimum feature size, which allows more components to be integrated into a given area. However, as the minimum feature size decreases, other problems arise that should be addressed.
Disclosure of Invention
According to an embodiment of the present disclosure, there is provided a method of manufacturing a semiconductor device, the method including: depositing a gate dielectric over the first, second, third and fourth regions; depositing a first metallic material over the first, second, third, and fourth regions; depositing a first work function layer over the first, second, third, and fourth regions; removing the first work function layer from the third region; after removing the first work function layer, depositing a second work function layer over the first, second, third, and fourth regions, the second work function layer being different from the first work function layer; removing the second work function layer from the first region and the second region; removing the first work function layer from the first region; and depositing a fill material over the first, second, third, and fourth regions after removing the first work function layer.
According to another embodiment of the present disclosure, there is provided a method of manufacturing a semiconductor device, the method including: depositing a first plurality of gate materials over the first region and the second region; tuning a first threshold voltage of a first transistor of transistors formed from the first plurality of gate materials by removing a first gate material of the first plurality of gate materials from the first region; and tuning a second threshold voltage of a second transistor of the transistors formed from the first plurality of gate materials by forming a second gate material over the first and second regions and removing the second gate material from the second region, the first gate material being different from the second gate material, the first transistor being a first PMOS transistor and the second transistor being a second PMOS transistor.
According to still another embodiment of the present disclosure, there is provided a semiconductor device including: a first gate stack over the first semiconductor fin, the first gate stack comprising a first metallic material; a second gate stack over a second semiconductor fin, the second gate stack comprising the first metallic material and a first p-metallic material different from the first metallic material; a third gate stack over a third semiconductor fin, the third gate stack comprising the first metallic material and a second p-metallic material different from the first metallic material; a fourth gate stack over a fourth semiconductor fin, the fourth gate stack comprising the first metal material, the first p-metal material, and the second p-metal material; and wherein each of the first gate stack, the second gate stack, the third gate stack, and the fourth gate stack comprises an n-metal material, the n-metal material in the first gate stack is in physical contact with the first metal material, the n-metal material in the second gate stack is in physical contact with the first p-metal material, the n-metal material in the third gate stack is in physical contact with the second p-metal material, and the n-metal material in the fourth gate stack is in physical contact with the second p-metal material.
Drawings
Various aspects of the disclosure are best understood from the following detailed description when read with the accompanying drawing figures. It should be noted that, in accordance with the standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.
Fig. 1 illustrates a perspective view of the formation of a semiconductor fin according to some embodiments.
Fig. 2 illustrates formation of source/drain regions according to some embodiments.
Figure 3 illustrates the formation of material of a gate stack according to some embodiments.
Fig. 4 illustrates a first barrier layer removal process according to some embodiments.
Fig. 5 illustrates the deposition of a second barrier layer according to some embodiments.
Fig. 6 illustrates a second barrier layer removal process according to some embodiments.
Fig. 7 illustrates another removal process of the first barrier layer according to some embodiments.
FIG. 8 illustrates deposition of a fill material according to some embodiments.
FIG. 9 illustrates formation of a cover according to some embodiments.
Detailed Description
The following disclosure provides many different embodiments, or examples, for implementing different features of the invention. Specific examples of components and arrangements are described below to simplify the present disclosure. Of course, these are merely examples and are not intended to be limiting. For example, in the description that follows, forming a first feature over or on a second feature may include embodiments in which the first and second features are formed in direct contact, and may also include embodiments in which additional features may be formed between the first and second features such that the first and second features may not be in direct contact. Further, the present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
Furthermore, spatially relative terms (e.g., "below," "beneath," "below," "above," "upper," etc.) may be used herein to readily describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures. These spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly.
Embodiments will now be described for a specific example including finFET devices with multiple threshold voltages for 5nm or 3nm technology nodes. However, embodiments are not limited to the examples provided herein, and these ideas may be implemented in various embodiments.
Referring now to fig. 1, a perspective view of a semiconductor device 100 (e.g., a finFET device) is shown. In one embodiment, the semiconductor device 100 includes a substrate 101 and a first trench 103. The substrate 101 may be a silicon substrate, although other substrates may be used, such as semiconductor-on-insulator (SOI), strained SOI, and silicon germanium-on-insulator. The substrate 101 may be a p-type semiconductor, although in other embodiments it may be an n-type semiconductor.
The first trench 103 may be formed as an initial step in which the first isolation region 105 is finally formed. The first trench 103 may be formed using a mask layer (not separately shown in fig. 1) and an appropriate etching process. For example, the mask layer may be a hard mask comprising silicon nitride formed by a process such as Chemical Vapor Deposition (CVD), although other materials (e.g., oxides, oxynitrides, silicon carbide, combinations thereof, etc.) as well as other processes (e.g., Plasma Enhanced Chemical Vapor Deposition (PECVD), Low Pressure Chemical Vapor Deposition (LPCVD), or even oxide formation followed by nitridation) may be used. Once formed, the mask layer may be patterned by an appropriate lithographic process, exposing those portions of the substrate 101 that are to be removed to form the first trenches 103.
However, as those skilled in the art will recognize, the processes and materials described above for forming the mask layer are not the only methods that can be used to protect portions of the substrate 101 while exposing other portions of the substrate 101. Any suitable process (e.g., patterned and developed photoresist) may be utilized to expose portions of the substrate 101 to be removed to form the first trenches 103. All such methods are intended to be included within the scope of the present embodiments.
Once the mask layer has been formed and patterned, a first trench 103 is formed in the substrate 101. The exposed substrate 101 may be removed by a suitable process, such as Reactive Ion Etching (RIE), to form the first trench 103 in the substrate 101, although any suitable process may be used. In an embodiment, the first trench 103 may be formed to have a distance from the surface of the substrate 101 less than about
Figure BDA0002038443020000041
Is a first depth (e.g., about
Figure BDA0002038443020000042
)。
However, as one of ordinary skill in the art will recognize, the process described above for forming the first trench 103 is only one potential process and is not meant to be the only embodiment. Rather, any suitable process by which the first trench 103 can be formed may be used, and any suitable process including any number of masking and removal steps may be used.
In addition to forming the first trench 103, the masking and etching process also forms fins 107 from those portions of the substrate 101 that remain unremoved. For convenience, the fin 107 has been shown in the figures as being separated from the substrate 101 by a dashed line, although there may or may not be a physical indication of separation. These fins 107 may be used to form the channel region of a multi-gate FinFET transistor, as described below. Although fig. 1 shows only three fins 107 formed from the substrate 101, any number of fins 107 may be used.
The fins 107 may be formed such that their width at the surface of the substrate 101 is between about 5nm and about 80nm, for example, about 30 nm. Further, the fins 107 may be spaced apart from each other by a distance between about 10nm and about 100nm, for example, about 50 nm. By spacing the fins 107 in this manner, the fins 107 may each form separate channel regions while still being close enough to share a common gate (discussed further below).
Once the first trench 103 and the fin 107 have been formed, the first trench 103 may be filled with a dielectric material and the dielectric material may be recessed within the first trench 103 to form the first isolation region 105. The dielectric material may be an oxide material, a High Density Plasma (HDP) oxide, or the like. After the optional cleaning and linearization (lining) of the first trench 103, the dielectric material may be formed using a Chemical Vapor Deposition (CVD) method (e.g., a HARP process), a high density plasma CVD method, or other suitable formation methods known in the art.
The first trench 103 may be filled by: the first trench 103 and the substrate 101 are overfilled (overfilled) with a dielectric material, and then excess material outside the first trench 103 and the fin 107 is removed by an appropriate process (e.g., Chemical Mechanical Polishing (CMP), etching, combinations thereof, etc.). In an embodiment, the removal process also removes any dielectric material located over the fin 107, such that the removal of the dielectric material will expose the surface of the fin 107 for further processing steps.
Once the first trench 103 has been filled with the dielectric material, the dielectric material may then be recessed away from the surface of the fin 107. The recess may be performed to expose at least a portion of the sidewall of the fin 107 adjacent to the surface of the fin 107. The dielectric material may be recessed using a wet etch that dips the top surface of the fin 107 into an etchant (e.g., HF), although other etchants (e.g., H) may be used2) And other methods (e.g., reactive ion etching, using a gas such as NH)3/NF3Dry etching, chemical oxide removal, or dry chemical cleaning of such etchants). The dielectric material may be recessed about the surface of fin 107
Figure BDA0002038443020000051
And about
Figure BDA0002038443020000052
A distance therebetween, e.g. about
Figure BDA0002038443020000053
In addition, the recess may also remove any remaining dielectric material located over the fin 107 to ensure that the fin 107 is exposed for further processing.
However, as one of ordinary skill in the art will recognize, the above steps may be only a portion of the overall process flow for filling and recessing the dielectric material. For example, a linearization step, a cleaning step, an annealing step, a gap filling step, combinations thereof, and the like may also be used to form and fill the first trench 103 with a dielectric material. All potential process steps are intended to be included within the scope of the present embodiments.
After the first isolation regions 105 have been formed, a dummy gate dielectric 109, a dummy gate electrode 111 over the dummy gate dielectric 109, and first spacers 113 may be formed over each fin 107. In embodiments, the dummy gate dielectric 109 may be formed by thermal oxidation, chemical vapor deposition, sputtering, or any other method known in the art and used to form gate dielectrics. The dummy gate dielectric 109 thickness on the top of the fin 107 may be different than the gate dielectric thickness on the sidewalls of the fin 107, depending on the gate dielectric formation technique.
The dummy gate dielectric 109 may comprise a material such as silicon dioxide or silicon oxynitride having a thickness ranging from about 3 angstroms to about 100 angstroms, for example, about 10 angstroms. Dummy gate dielectric 109 may be formed of a high dielectric constant (high-k) material (e.g., having a relative dielectric constant greater than about 5), such as lanthanum oxide (La)2O3) And oxidizing the mixtureAluminum (Al)2O), hafnium oxide (HfO)2) Hafnium oxynitride (HfON), or zirconium oxide (ZrO)2) Or a combination thereof, having an equivalent oxide thickness of about 0.5 angstroms to about 100 angstroms, e.g., about 10 angstroms or less. In addition, any combination of silicon dioxide, silicon oxynitride, and/or high-k materials may also be used for dummy gate dielectric 109.
The dummy gate electrode 111 may include a conductive or non-conductive material, and may be selected from the group consisting of polysilicon, W, Al, Cu, AlCu, W, Ti, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, TiN, Ta, TaN, Co, Ni, a combination thereof, and the like. The dummy gate electrode 111 may be deposited by Chemical Vapor Deposition (CVD), sputter deposition, or other techniques known in the art and used to deposit conductive materials. The thickness of the dummy gate electrode 111 may be about
Figure BDA0002038443020000061
To about
Figure BDA0002038443020000062
Figure BDA0002038443020000063
Within the range of (1). The top surface of the dummy gate electrode 111 may have a non-planar top surface and may be planarized prior to patterning or gate etching of the dummy gate electrode 111. Ions may or may not be introduced into the dummy gate electrode 111 at this time. For example, the ions may be introduced by ion implantation techniques.
Once formed, the dummy gate dielectric 109 and dummy gate electrode 111 may be patterned to form a series of stacks 115 over the fins 107. The stack 115 defines a plurality of channel regions on each side of the fin 107 underlying the dummy gate dielectric 109. The stack 115 may be formed by depositing and patterning a gate mask (not separately shown in fig. 1) on the dummy gate electrode 111 using, for example, deposition and photolithography techniques known in the art. The gate mask may comprise conventional masking and sacrificial materials such as, but not limited to, silicon oxide, silicon oxynitride, SiCON, SiC, SiOC, and/or silicon nitride, and may be deposited to about
Figure BDA0002038443020000071
And about
Figure BDA0002038443020000072
To the thickness of (d) in between. The dummy gate electrode 111 and the dummy gate dielectric 109 may be etched using a dry etch process to form the patterned stack 115.
Once the stack 115 has been patterned, the first spacer 113 may be formed. First spacers 113 may be formed on opposite sides of the stack 115. The first spacers 113 are typically formed by blanket depositing a spacer layer (not separately shown in fig. 1) over the previously formed structure. The spacer layer may include SiN, oxynitride, SiC, SiON, SiOCN, SiOC, oxide, and the like, and may be formed by methods for forming such layers, for example, Chemical Vapor Deposition (CVD), plasma enhanced CVD, sputtering, and other methods known in the art. The spacer layer may comprise a different material having different etching characteristics, or the same material as the dielectric material within the first isolation region 105. The first spacers 113 may then be patterned (e.g., by one or more etches to remove the spacer layer from horizontal surfaces of the structure) to form the first spacers 113.
In one embodiment, the first spacer 113 may be formed to have a thickness of about
Figure BDA0002038443020000073
And about
Figure BDA0002038443020000074
To the thickness of (d) in between. Furthermore, once the first spacers 113 have been formed, the first spacers 113 adjacent to one stack 115 may be separated from the first spacers 113 adjacent to another stack 115 by a distance between about 5nm and about 200nm, for example, about 20 nm. However, any suitable thickness and distance may be used.
Fig. 2 shows the removal of the fin 107 from those areas not protected by the stack 115 and the first spacers 113, and the regrowth of the source/drain regions 201. The removal of the fin 107 from those areas not protected by the stack 115 and the first spacer 113 may be performed by Reactive Ion Etching (RIE) using the stack 115 and the first spacer 113 as a hard mask or by any other suitable removal process. The removal may continue until the fin 107 is planarized (as shown) with the surface of the first isolation region 105 or below the surface of the first isolation region 105.
Once these portions of the fins 107 have been removed, a hard mask (not separately shown) is placed and patterned to cover the dummy gate electrode 111 to prevent growth, and the source/drain regions 201 may be regrown in contact with each fin 107. In an embodiment, the source/drain regions 201 may be regrown, and in some embodiments, the source/drain regions 201 may be regrown to form a stressor (stressor) that will stress the channel region of the fin 107 located under the stack 115. In embodiments where the fin 107 comprises silicon and the FinFET is a p-type device, the source/drain regions 201 may be regrown with a material such as silicon (having a different lattice constant than the channel region) or a material such as silicon germanium by a selective epitaxial process. The epitaxial growth process may use a precursor (e.g., silane, dichlorosilane, germane, etc.) and may last for about 5 minutes to about 120 minutes, e.g., about 30 minutes.
In one embodiment, the source/drain regions 201 may be formed to have a thickness of about
Figure BDA0002038443020000081
And the combination
Figure BDA0002038443020000082
And above the first isolation region 105 at about
Figure BDA0002038443020000083
And the combination
Figure BDA0002038443020000084
Height in between (e.g., about
Figure BDA0002038443020000085
). In this embodiment, the source/drain regions 201 may be formed to have a height above the upper surface of the first isolation region 105 of between about 5nm and about 250nm, for example, about 100 nm. However, any suitable height may be used.
Once the source/drain regions 201 are formed, dopants may be implanted into the source/drain regions 201 by implanting appropriate dopants to replenish the dopants in the fins 107. For example, a p-type dopant (e.g., boron, gallium, indium, etc.) may be implanted to form a PMOS device. Alternatively, n-type dopants (e.g., phosphorus, arsenic, antimony, etc.) may be implanted to form the NMOS device. These dopants may be implanted using the stack 115 and the first spacer 113 as a mask. It should be noted that one of ordinary skill in the art will recognize that many other processes, steps, etc. may be used to implant the dopants. For example, one of ordinary skill in the art will recognize that various combinations of spacers and spacers (liner) may be used to perform multiple implants to form source/drain regions having particular shapes or characteristics suitable for a particular purpose. Any of these processes may be used to implant the dopants and the above description is not meant to limit the present embodiment to the steps described above.
Further, at this time, the hard mask covering the dummy gate electrode 111 during the formation of the source/drain region 201 is removed. In an embodiment, the hard mask may be removed using, for example, a wet etch process or a dry etch process selective to the material of the hard mask. However, any suitable removal process may be used.
Fig. 2 also shows the formation of an interlayer dielectric (ILD) layer 203 (shown in dashed lines in fig. 2 to more clearly show the underlying structure) over the stack 115 and source/drain regions 201. ILD layer 203 may comprise a material such as borophosphosilicate glass (BPSG), although any suitable dielectric may be used. A process such as PECVD may be used to form the ILD layer 203, although other processes such as LPCVD may alternatively be used. The ILD layer 203 may be formed to about
Figure BDA0002038443020000091
And the combination
Figure BDA0002038443020000092
To the thickness of (d) in between. Once formed, the ILD layer 203 and the first spacer 113 may be planarized using, for example, a planarization process (e.g., a chemical mechanical polishing process), although any suitable process may be used.
Fig. 3 illustrates a cross-sectional view of fig. 2 along line 3-3' to better illustrate the removal and replacement of material of the dummy gate electrode 111 and dummy gate dielectric 109 having multiple layers for the first gate stack 902 (not shown in fig. 3, but shown and described below with reference to fig. 9). Further, in fig. 3, although the first gate stack 902 is shown within the first region 302 of the substrate 101, the second region 304 of the substrate 101 (for the second gate stack 904), the third region 306 of the substrate 101 (for the third gate stack 906), and the fourth region 308 of the substrate 101 (for the fourth gate stack 908) are also shown. In an embodiment, the first gate stack 902 may be a gate stack for a first transistor 903 (e.g., a first NMOS finFET transistor) having a first voltage threshold Vt1, while the second gate stack 904 may be for a second transistor 905 (e.g., a second NMOS finFET transistor) having a second voltage threshold Vt2 that is different from the first voltage threshold Vt 1. Further, the third gate stack 906 may be for a third transistor 907 (e.g., a first PMOS finFET transistor) having a third voltage threshold Vt3, and the fourth gate stack 908 may be for a fourth transistor 909 (e.g., a second PMOS finFET transistor) having a fourth voltage threshold Vt4 different from the third voltage threshold Vt 3. However, any suitable means may be used.
In an embodiment, the dummy gate electrode 111 and the dummy gate dielectric 109 may be removed using, for example, one or more wet or dry etching processes (with an etchant selective to the material of the dummy gate electrode 111 and the dummy gate dielectric 109). However, any suitable removal process may be used.
Once the dummy gate electrode 111 and dummy gate dielectric 109 have been removed, the process of forming the first gate stack 902, second gate stack 904, third gate stack 906, and fourth gate stack 908 may begin by depositing a series of layers. In an embodiment, the series of layers may include an interface layer 301, a first dielectric material 303, a first metallic material 305, and a first p-metal work function layer 307.
Alternatively, the interfacial layer 301 may be formed prior to forming the first dielectric material 303. In an embodiment, the interfacial layer 301 may be a material such as silicon dioxide formed by a process such as in-situ steam generation (ISSG). In another embodiment, the interfacial layer 301 may be a high-k material (e.g., HfO)2、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、LaO、ZrO、Ta2O5Combinations thereof, and the like) having a molecular weight of about
Figure BDA0002038443020000101
And the combination
Figure BDA0002038443020000102
First thickness T in between1E.g. about
Figure BDA0002038443020000103
However, any suitable material or formation process may be used.
Once the interface layer 301 is formed, a first dielectric material 303 may be formed as a capping layer over the interface layer 301. In an embodiment, the first dielectric material 303 is a high-k material (e.g., HfO2, HfSiO, HfSiON, HfTaO, HfTiO, HfZrO, LaO, ZrO, Ta2O5, combinations thereof, etc.) deposited by a process such as atomic layer deposition, chemical vapor deposition, etc. The first dielectric material 303 may be deposited at about
Figure BDA0002038443020000104
To about
Figure BDA0002038443020000105
A second thickness T in between2Although any suitable material and thickness may be used.
The first metal material 305 may be formed adjacent to the first dielectric material 303 as a barrier layer, and may be formed of a metal material such as TaN, Ti, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, TiN, Ru, Mo, WN, other metal oxides, metal nitrides, metal silicates, transition metal oxides, transition metal nitrides, transition metal silicates, metal oxynitrides, metal aluminates, zirconium silicate, zirconium aluminate, combinations thereof, and the like. The first metallic material 305 may be deposited to about a thickness using a deposition process such as atomic layer deposition, chemical vapor deposition, sputtering, and the like
Figure BDA0002038443020000106
And the combination
Figure BDA0002038443020000107
Third thickness T in between3Although any suitable deposition process or thickness may be used.
The first p-metal work function layer 307 may be formed adjacent to the first metal material 305 and, in particular embodiments, may be similar to the first metal material 305. For example, the first p-metal work function layer 307 may be formed of a metal material such as TiN, Ti, TiAlN, TaC, TaCN, TaSiN, TaSi2、NiSi2、Mn、Zr、ZrSi2、TaN、Ru、Al、Mo、MoSi2WN, other metal oxides, metal nitrides, metal silicates, transition metal oxides, transition metal nitrides, transition metal silicates, oxynitrides of metals, metal aluminates, zirconium silicates, zirconium aluminates, combinations thereof, and the like. Further, the first p-metal work function layer 307 may be deposited using a deposition process such as atomic layer deposition, chemical vapor deposition, sputtering, and the like to be at about
Figure BDA0002038443020000108
And the combination
Figure BDA0002038443020000109
A fourth thickness T in between4Although it may beAny suitable deposition process or thickness is used.
Fig. 4 shows the first p-metal work function layer 307 being removed from the third region 306 but not from the first region 302, the second region 304, and the fourth region 308. In an embodiment, the removal may be initiated by placing a first photoresist 401 over the first area 302, the second area 304, the third area 306, and the fourth area 308. Once in place, the first photoresist 401 may then be patterned to expose the third region 306 without exposing the first, second, and fourth regions 302, 304, 308. The patterning may be performed by: the first photoresist 401 is exposed to a patterned energy source in order to modify the physical properties of the first photoresist 401, and then a developer is applied in order to remove that portion of the first photoresist 401 that is over the third region 306, while leaving the first photoresist 401 protecting the first, second and fourth regions 302, 304, 308.
Once the first p-metal work function layer 307 has been exposed in the third region 306, the first p-metal work function layer 307 in the third region 306 may be removed. In an embodiment, the first p-metal work function layer 307 may be removed in the third region 306 utilizing one or more etching processes, such as a wet or dry etching process that is selective to the first p-metal work function layer 307 (e.g., titanium nitride) and stops without significantly removing the underlying material of the first metal material 305 (e.g., tantalum nitride). However, any suitable removal process may be used.
Fig. 5 shows that once the first p-metal work function layer 307 has been removed, the first photoresist 401 may be removed from over the first, second, and fourth regions 302, 304, 308. In an embodiment, the first photoresist 401 may be removed using a process such as ashing, wherein the temperature of the first photoresist 401 is increased until the first photoresist 401 undergoes thermal decomposition and may then be removed. However, any other suitable process may be used to remove the first photoresist 401.
FIG. 5 also shows that once the second one has been removedA second p-metal work function layer 501 may be deposited over the first region 302, the second region 304, the third region 306, and the fourth region, upon photoresist 401. In an embodiment, the second p-metal work function layer 501 may be the following metals: has a higher or close work function than the material of the first p-metal work function layer 307 (e.g., TiN), and has a large selectivity to the etching process (of the material of the first p-metal work function layer 307). In which the second p-metal work function layer 501 is etched using a wet etchant (e.g., NH4OH or DIO)3) In an embodiment in which the first p-metal work function layer 307 is titanium nitride, the material of the second p-metal work function layer 501 may have a selectivity greater than about 500. However, any suitable selectivity may be used.
In a particular embodiment, the material of the second p-metal work function layer 501 may be a tungsten-based metal, such as tungsten, tungsten nitride (WNx), tungsten carbide nitride (WCxNy), tungsten oxide (WOx), combinations thereof, and the like. In another embodiment, the second p-metal work function layer 501 may be a molybdenum-based metal, such as molybdenum, molybdenum nitride (MoNx), combinations thereof, and the like. In yet another embodiment, the second p-metal work function layer 501 may be a material such as gold, platinum, palladium, combinations thereof, and the like. However, any suitable material may be used.
In an embodiment, the second p-metal work function layer 501 may be deposited using a deposition process such as atomic layer deposition, chemical vapor deposition, sputtering, and the like. In addition, the second p-metal work function layer 501 may be deposited at about
Figure BDA0002038443020000121
And the combination
Figure BDA0002038443020000122
A fifth thickness T therebetween5Although any suitable deposition process or thickness may be used.
Fig. 6 shows that once the second p-metal work function layer 501 has been deposited over the first region 302, the second region 304, the third region 306, and the fourth region 308, the second p-metal work function layer 501 is removed from the first region 302 and the second region 304. In an embodiment, the removal may be initiated by placing a second photoresist 601 over the first 302, second 304, third 306, and fourth 308 regions. Once in place, the second photoresist 601 may then be patterned to expose the first region 302 and the second region 304, while not exposing the third region 306 and the fourth region 308. The patterning may be performed by: the second photoresist 601 is exposed to a patterned energy source in order to modify the physical properties of the second photoresist 601, and then a developer is applied in order to remove the portions of the second photoresist 601 that are located over the first and second regions 302 and 304, while leaving the second photoresist 601 protecting the third and fourth regions 306 and 308.
Once the second p-metal work function layer 501 has been exposed in the first and second regions 302, 304, the second p-metal work function layer 501 in the first and second regions 302, 304 may be removed. In an embodiment, the second p-metal work function layer 501 may be removed in the first and second regions 302, 304 using one or more etching processes (e.g., a wet or dry etching process that is selective to the material of the second p-metal work function layer 501 and stops without significantly removing the material of the underlying first p-metal work function layer 307). However, any suitable removal process may be used.
Fig. 7 shows that once the second p-metal work function layer 501 has been removed, the second photoresist 601 can be removed from over the third region 306 and the fourth region 308. In an embodiment, the second photoresist 601 may be removed using a process such as ashing, wherein the temperature of the second photoresist 601 is increased until the second photoresist 601 undergoes thermal decomposition and may then be removed. However, any other suitable process may be used to remove the second photoresist 601.
Fig. 7 also shows that once the second photoresist 601 has been removed, the first p-metal work function layer 307 may be removed from the first region 302. In an embodiment, the removal may be initiated by placing a third photoresist 701 over the first region 302, the second region 304, the third region 306, and the fourth region 308. Once in place, the third photoresist 701 can then be patterned to expose the first region 302, without exposing the second region 304, the third region 306, and the fourth region 308. The patterning may be performed by: the third photoresist 701 is exposed to a patterned energy source in order to modify the physical properties of the third photoresist 701, and then a developer is applied in order to remove that portion of the third photoresist 701 that is located over the first regions 302, while leaving the third photoresist 701 protecting the second regions 304, third regions 306, and fourth regions 308.
Once the first p-metal work function layer 307 has been exposed in the first region 302, the first p-metal work function layer 307 in the first region 302 may be removed. In an embodiment, the first p-metal work function layer 307 may be removed in the first region 302 using one or more etching processes (e.g., a wet or dry etching process that is selective to the material of the first p-metal work function layer 307 and stops without significantly removing the material of the underlying first metal material 305). However, any suitable removal process may be used.
Fig. 8 shows the removal of the third photoresist 701 and the deposition of the first n-metal work function layer 802, glue layer 804, and fill material 806. In an embodiment, a process such as ashing may be used to remove the third photoresist 701 from over the second regions 304, the third regions 306, and the fourth regions 308, wherein the temperature of the third photoresist 701 is increased until the third photoresist 701 undergoes thermal decomposition and may then be removed. However, any other suitable process may be used to remove the third photoresist 701.
Once the third photoresist 701 has been removed, a first n-metal work function layer 802 may be deposited. In an embodiment, the first n-metal work function layer 802 may be a material such as Ti, Ag, Al, TaAl, TaAlC, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, other suitable n-type work function material, or combinations thereof. For example, can utilizeAn Atomic Layer Deposition (ALD) process, CVD process, or the like deposits the first n-metal work function layer 802 at about
Figure BDA0002038443020000141
To about
Figure BDA0002038443020000142
A sixth thickness T therebetween6E.g. about
Figure BDA0002038443020000143
However, any suitable material and process may be used to form the first n-metal work function layer 802.
Once the first n-metal work function layer 802 is formed, a glue layer 804 may be formed to help adhere the overlying fill material 806 to the underlying first n-metal work function layer 802 and to provide a nucleation layer for the formation of the fill material 806. In an embodiment, glue layer 804 may be a material such as titanium nitride, or may be a similar material to first n-metal work function layer 802, and may be formed at about the same time using a similar process such as ALD
Figure BDA0002038443020000144
And the combination
Figure BDA0002038443020000145
A seventh thickness T therebetween7E.g. about
Figure BDA0002038443020000146
However, any suitable materials and methods may be used.
Once the glue layer 804 has been formed, a fill material 806 is deposited using the glue layer 804 to fill the remainder of the opening. However, by utilizing the second p-metal work function layer 501 rather than simply depositing an additional layer of the first p-metal work function layer 307, fewer layers may be used to achieve the required threshold voltage tuning (described further below), and the width to be filled by the subsequently deposited fill material 806 remains larger than otherwise. For example, in the first region 302, during depositionThe remainder of the opening after the glue layer 804 may have a thickness of about
Figure BDA0002038443020000147
And the combination
Figure BDA0002038443020000148
First width W between1E.g. about
Figure BDA0002038443020000149
Similarly, in the second region 304, the remaining portion of the opening after deposition of the glue layer 804 may have a thickness of about
Figure BDA00020384430200001410
And the combination
Figure BDA00020384430200001411
Second width W therebetween2E.g. about
Figure BDA00020384430200001412
In the third region 306, the remaining portion of the opening after deposition of the glue layer 804 may have a thickness of about
Figure BDA00020384430200001413
And the combination
Figure BDA00020384430200001414
Third width W between3E.g. about
Figure BDA00020384430200001415
Finally, in the fourth region 308, the remaining portion of the opening after deposition of the glue layer 804 may have a thickness of about
Figure BDA00020384430200001416
Figure BDA00020384430200001417
And the combination
Figure BDA00020384430200001418
A fourth width W between4E.g. about
Figure BDA00020384430200001419
Furthermore, because of the different number of layers in each of first region 302, second region 304, third region 306, and fourth region 308, the openings may each have a different height during deposition of fill material 806. For example, in the first region 302, the remaining portion of the opening after depositing the glue layer 804 may have a first height H of between about 60nm and about 100nm1For example, about 80 nm. Similarly, in the second region 304, the remaining portion of the opening after depositing the glue layer 804 may have a second height H of between about 60nm and about 100nm2For example, about 80 nm. In the third region 306, the remaining portion of the opening after depositing the glue layer 804 may have a third height H between about 60nm and about 80nm3For example, about 100 nm. Finally, in the fourth region 308, the remaining portion of the opening after depositing the glue layer 804 may have a fourth height H of between about 60nm and about 100nm4For example, about 80 nm.
In an embodiment, the fill material 806 may be a material such as tungsten, Al, Cu, AlCu, W, Ti, TiAlN, TaC, TaCN, TaSiN, Mn, Zr, TiN, Ta, TaN, Co, Ni, combinations thereof, and the like, and may be formed using a deposition process such as electroplating, chemical vapor deposition, atomic layer deposition, physical vapor deposition, combinations thereof, and the like. Further, the fill material 806 may be deposited to about
Figure BDA0002038443020000151
And the combination
Figure BDA0002038443020000152
A thickness of between, for example, about
Figure BDA0002038443020000153
However, any suitable material may be used.
However, by utilizing embodiments described herein, the aspect ratio (e.g., the ratio of height to width) of each opening may be kept small enough not to inhibit deposition of the fill material 806. In particular, if the aspect ratio is too large, the deposition process of the fill material 806 may cause voids to form within the fill material 806, which may create undesirable complications during further fabrication or operation. However, by using fewer layers in the tuning of the various gate stacks, the aspect ratio may be kept low, thereby reducing the likelihood of void formation and its negative consequences.
Fig. 9 shows that after a fill material 806 has been deposited to fill and overfill the openings, the material within each of the openings of the first region 302, the second region 304, the third region 306, and the fourth region 308 can be planarized to form a first gate stack 902, a second gate stack 904, a third gate stack 906, and a fourth gate stack 908. In an embodiment, the material and the first spacer 113 may be planarized using, for example, a chemical mechanical polishing process, although any suitable process such as grinding or etching may be used.
After the materials of the first gate stack 902, the second gate stack 904, the third gate stack 906, and the fourth gate stack 908 have been formed and planarized, the materials of the first gate stack 902, the second gate stack 904, the third gate stack 906, and the fourth gate stack 908 may be recessed and covered with a capping layer 901. In an embodiment, the materials of the first gate stack 902, the second gate stack 904, the third gate stack 906, and the fourth gate stack 908 may be recessed using, for example, a wet etch process or a dry etch process (with an etchant selective to the materials of the first gate stack 902, the second gate stack 904, the third gate stack 906, and the fourth gate stack 908). In an embodiment, the material of the first gate stack 902, the second gate stack 904, the third gate stack 906, and the fourth gate stack 908 may be recessed by a distance between about 5nm and about 150nm, for example, about 120 nm. However, any suitable procedure and distance may be used.
Once the first gate stack 90 is formed2. The material of the second gate stack 904, the third gate stack 906 and the fourth gate stack 908 has been recessed and the capping layer 901 may be deposited and planarized with the first spacer 113. In an embodiment, capping layer 901 is a material such as SiN, SiON, SiCON, SiC, SiOC, combinations thereof, or the like, deposited using a deposition process such as atomic layer deposition, chemical vapor deposition, sputtering, or the like. Capping layer 901 may be deposited at about
Figure BDA0002038443020000163
Figure BDA0002038443020000161
And the combination
Figure BDA0002038443020000162
And then planarized using a planarization process such as chemical mechanical polishing, so that the capping layer 901 and the first spacers 113 are planar.
By utilizing the embodiments described herein, multiple transistors with individually tuned threshold voltages can be realized without reducing various manufacturing process windows. For example, within the first region 302, the first transistor 903 may be formed with a gate stack including an interface layer 301, a first dielectric material 303, a first metallic material 305, a first n-metal work function layer 802, a glue layer 804, and a fill material 806. Thus, for a first NMOS device, the first transistor 903 may have a first threshold voltage V between about 0.01V and about 0.15Vt1For example, about 0.1V.
Similarly, within the second region 304, a second transistor 905 may be formed with a gate stack comprising the interface layer 301, the first dielectric material 303, the first metal material 305, the first p-metal work function layer 307, the first n-metal work function layer 802, the glue layer 804, and the fill material 806. Thus, for a second NMOS device, the second transistor 905 may have a second threshold voltage V between about 0.15V and about 0.4Vt2For example, about 0.25V.
In addition, in the third region 306, a third transistor 907 may be usedA gate stack including an interfacial layer 301, a first dielectric material 303, a first metal material 305, a second p-metal work function layer 501, a first n-metal work function layer 802, a glue layer 804, and a fill material 806. Thus, the third transistor 907 may have a third threshold voltage V between about 0.15V and about 0.4V for the first PMOS devicet3For example, about 0.25V.
Finally, within the fourth region 308, a fourth transistor 909 may be formed with a gate stack comprising the interface layer 301, the first dielectric material 303, the first metallic material 305, the first p-metal work function layer 307, the second p-metal work function layer 501, the first n-metal work function layer 802, the glue layer 804, and the fill material 806. Thus, for the second PMOS device, the fourth transistor 909 may have a fourth threshold voltage V of between about 0.01V and about 0.15Vt4For example, about 0.1V.
By utilizing the embodiments described herein, the threshold voltage of the device is tuned using a variety of different materials. By utilizing multiple different metals, stacking multiple layers of the same material (e.g., TiN) may be avoided and an overall thickness reduction compared to the same material itself may be achieved. In this way, the overall thickness of the layers can be reduced, which increases the gap-fill window, thereby reducing the cost of subsequent layers. This reduction also allows for better threshold stability, since fewer voids will be formed and the metal gate can completely fill the opening. In this way, multiple threshold voltage tuning can be achieved in narrower critical dimensions (e.g., for 5nm and 3nm technology nodes) without sacrificing the N/P patterning and metal gate gap fill windows.
In one embodiment, a method of manufacturing a semiconductor device includes: depositing a gate dielectric over the first, second, third and fourth regions; depositing a first metal material over the first, second, third, and fourth regions; depositing a first work function layer over the first, second, third, and fourth regions; removing the first work function layer from the third region; depositing a second work function layer over the first, second, third, and fourth regions after removing the first work function layer, the second work function layer being different from the first work function layer; removing the second work function layer from the first region and the second region; removing the first work function layer from the first region; after removing the first work function layer, a fill material is deposited over the first, second, third, and fourth regions. In an embodiment, the first work function layer comprises titanium nitride. In an embodiment, the second work function layer comprises tungsten. In an embodiment, the second work function layer comprises tungsten oxide. In an embodiment, the second work function layer comprises tungsten nitride. In an embodiment, the second work function layer comprises molybdenum. In an embodiment, the second work function layer comprises molybdenum nitride.
In another embodiment, a method of manufacturing a semiconductor device includes: depositing a first plurality of gate materials over the first region and the second region; tuning a first threshold voltage of a first one of the transistors formed from the first plurality of gate materials by removing the first gate material of the first plurality of gate materials from the first region; tuning a second threshold voltage of a second one of the transistors formed from the first plurality of gate materials by forming a second gate material over the first region and the second region and removing the second gate material from the second region, the first gate material being different from the second gate material, the first transistor being a first PMOS transistor and the second transistor being a second PMOS transistor. In an embodiment, depositing the second gate material over the first region comprises: a second gate material is deposited in physical contact with the barrier layer. In an embodiment, depositing the second gate material over the second region comprises: a second gate material is deposited in physical contact with the first gate material in the second region. In an embodiment, the barrier layer comprises tantalum nitride. In an embodiment, depositing the first plurality of gate materials further comprises: depositing an interfacial layer over the semiconductor fin; and depositing a dielectric capping layer over the interfacial layer. In an embodiment, the method further comprises depositing a glue layer over the second gate material. In an embodiment, the method further comprises depositing a filler material over the glue layer.
In yet another embodiment, a semiconductor device includes: a first gate stack over the first semiconductor fin, the first gate stack comprising a first metallic material; a second gate stack over the second semiconductor fin, the second gate stack comprising a first metal material and a first p-metal material different from the first metal material; a third gate stack over the third semiconductor fin, the third gate stack comprising a first metallic material and a second p-metallic material different from the first metallic material; a fourth gate stack over the fourth semiconductor fin, the fourth gate stack comprising the first metal material, the first p-metal material, and the second p-metal material; wherein each of the first gate stack, the second gate stack, the third gate stack, and the fourth gate stack comprises an n-metal material, the n-metal material in the first gate stack is in physical contact with the first metal material, the n-metal material in the second gate stack is in physical contact with the first p-metal material, the n-metal material in the third gate stack is in physical contact with the second p-metal material, and the n-metal material in the fourth gate stack is in physical contact with the second p-metal material. In an embodiment, the second p-metal material comprises a tungsten-based material. In an embodiment, the second p-metal material comprises tungsten carbonitride. In an embodiment, the second p metal material comprises a molybdenum-based material. In an embodiment, the second p-metal material comprises molybdenum nitride. In an embodiment, the first p-metal material comprises titanium nitride.
The foregoing has outlined features of some embodiments so that those skilled in the art may better understand the various aspects of the disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that such equivalent constructions do not depart from the spirit and scope of the present disclosure, and that they may make various changes, substitutions and alterations herein without departing from the spirit and scope of the present disclosure.
Example 1. a method of manufacturing a semiconductor device, the method comprising: depositing a gate dielectric over the first, second, third and fourth regions; depositing a first metallic material over the first, second, third, and fourth regions; depositing a first work function layer over the first, second, third, and fourth regions; removing the first work function layer from the third region; after removing the first work function layer, depositing a second work function layer over the first, second, third, and fourth regions, the second work function layer being different from the first work function layer; removing the second work function layer from the first region and the second region; removing the first work function layer from the first region; and depositing a fill material over the first, second, third, and fourth regions after removing the first work function layer.
Example 2. the method of example 1, wherein the first work function layer comprises titanium nitride.
Example 3. the method of example 2, wherein the second work function layer comprises tungsten.
Example 4. the method of example 2, wherein the second work function layer comprises tungsten oxide.
Example 5. the method of example 2, wherein the second work function layer comprises tungsten nitride.
Example 6. the method of example 2, wherein the second work function layer comprises molybdenum.
Example 7. the method of example 1, wherein the second work function layer comprises molybdenum nitride.
Example 8 a method of manufacturing a semiconductor device, the method comprising: depositing a first plurality of gate materials over the first region and the second region; tuning a first threshold voltage of a first transistor of transistors formed from the first plurality of gate materials by removing a first gate material of the first plurality of gate materials from the first region; and tuning a second threshold voltage of a second transistor of the transistors formed from the first plurality of gate materials by forming a second gate material over the first and second regions and removing the second gate material from the second region, the first gate material being different from the second gate material, the first transistor being a first PMOS transistor and the second transistor being a second PMOS transistor.
Example 9. the method of example 8, wherein depositing the second gate material over the first region includes: the second gate material is deposited in physical contact with the barrier layer.
Example 10 the method of example 9, wherein depositing the second gate material over the second region includes: depositing the second gate material in physical contact with the first gate material in the second region.
Example 11 the method of example 10, wherein the barrier layer comprises tantalum nitride.
The method of example 12. according to example 8, wherein depositing the first plurality of gate materials further comprises: depositing an interfacial layer over the semiconductor fin; and depositing a dielectric capping layer over the interfacial layer.
Example 13. the method of example 8, further comprising depositing a glue layer over the second gate material.
Example 14. the method of example 13, further comprising depositing a fill material over the glue layer.
Example 15 a semiconductor device, comprising: a first gate stack over the first semiconductor fin, the first gate stack comprising a first metallic material; a second gate stack over a second semiconductor fin, the second gate stack comprising the first metallic material and a first p-metallic material different from the first metallic material; a third gate stack over a third semiconductor fin, the third gate stack comprising the first metallic material and a second p-metallic material different from the first metallic material; a fourth gate stack over a fourth semiconductor fin, the fourth gate stack comprising the first metal material, the first p-metal material, and the second p-metal material; and wherein each of the first gate stack, the second gate stack, the third gate stack, and the fourth gate stack comprises an n-metal material, the n-metal material in the first gate stack is in physical contact with the first metal material, the n-metal material in the second gate stack is in physical contact with the first p-metal material, the n-metal material in the third gate stack is in physical contact with the second p-metal material, and the n-metal material in the fourth gate stack is in physical contact with the second p-metal material.
Example 16. the semiconductor device of example 15, wherein the second p-metal material comprises a tungsten-based material.
Example 17. the semiconductor device of example 16, wherein the second p-metal material comprises tungsten carbonitride.
Example 18. the semiconductor device of example 15, wherein the second p-metal material comprises a molybdenum-based material.
Example 19 the semiconductor device of example 18, wherein the second p-metal material comprises molybdenum nitride.
Example 20 the semiconductor device of example 15, wherein the first p-metal material comprises titanium nitride.

Claims (10)

1. A method of manufacturing a semiconductor device, the method comprising:
depositing a gate dielectric over the first, second, third and fourth regions;
depositing a first metallic material over the first, second, third, and fourth regions;
depositing a first work function layer over the first, second, third, and fourth regions;
removing the first work function layer from the third region;
after removing the first work function layer, depositing a second work function layer over the first, second, third, and fourth regions, the second work function layer being different from the first work function layer;
removing the second work function layer from the first region and the second region;
removing the first work function layer from the first region; and
depositing a fill material over the first, second, third, and fourth regions after removing the first work function layer.
2. The method of claim 1, wherein the first work function layer comprises titanium nitride.
3. The method of claim 2, wherein the second work function layer comprises tungsten.
4. The method of claim 2, wherein the second work function layer comprises tungsten oxide.
5. The method of claim 2, wherein the second work function layer comprises tungsten nitride.
6. The method of claim 2, wherein the second work function layer comprises molybdenum.
7. The method of claim 1, wherein the second work function layer comprises molybdenum nitride.
8. A method of manufacturing a semiconductor device, the method comprising:
depositing a first plurality of gate materials over the first region and the second region;
tuning a first threshold voltage of a first transistor of transistors formed from the first plurality of gate materials by removing a first gate material of the first plurality of gate materials from the first region; and
tuning a second threshold voltage of a second transistor of the transistors formed from the first plurality of gate materials by forming a second gate material over the first and second regions and removing the second gate material from the second region, the first gate material being different from the second gate material, the first transistor being a first PMOS transistor and the second transistor being a second PMOS transistor.
9. The method of claim 8, wherein depositing the second gate material over the first region comprises: the second gate material is deposited in physical contact with the barrier layer.
10. A semiconductor device, comprising:
a first gate stack over the first semiconductor fin, the first gate stack comprising a first metallic material;
a second gate stack over a second semiconductor fin, the second gate stack comprising the first metallic material and a first p-metallic material different from the first metallic material;
a third gate stack over a third semiconductor fin, the third gate stack comprising the first metallic material and a second p-metallic material different from the first metallic material;
a fourth gate stack over a fourth semiconductor fin, the fourth gate stack comprising the first metal material, the first p-metal material, and the second p-metal material; and is
Wherein each of the first gate stack, the second gate stack, the third gate stack, and the fourth gate stack comprises an n-metal material, the n-metal material in the first gate stack is in physical contact with the first metal material, the n-metal material in the second gate stack is in physical contact with the first p-metal material, the n-metal material in the third gate stack is in physical contact with the second p-metal material, and the n-metal material in the fourth gate stack is in physical contact with the second p-metal material.
CN201910333651.5A 2018-09-27 2019-04-24 Semiconductor device and method of manufacture Active CN110957270B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862737419P 2018-09-27 2018-09-27
US62/737,419 2018-09-27
US16/193,906 2018-11-16
US16/193,906 US10867864B2 (en) 2018-09-27 2018-11-16 Semiconductor device and method of manufacture

Publications (2)

Publication Number Publication Date
CN110957270A true CN110957270A (en) 2020-04-03
CN110957270B CN110957270B (en) 2023-01-06

Family

ID=69946534

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201910333651.5A Active CN110957270B (en) 2018-09-27 2019-04-24 Semiconductor device and method of manufacture

Country Status (4)

Country Link
US (2) US10867864B2 (en)
KR (1) KR20200036690A (en)
CN (1) CN110957270B (en)
TW (1) TWI715218B (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11114347B2 (en) * 2017-06-30 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Self-protective layer formed on high-k dielectric layers with different materials
US10629700B1 (en) * 2018-09-28 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. High-K metal gate process and device
US11257921B2 (en) * 2019-04-18 2022-02-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11417653B2 (en) * 2019-09-30 2022-08-16 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming the same

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070128775A1 (en) * 2005-12-02 2007-06-07 Samsung Electronics Co., Ltd. Method of manufacturing a semiconductor device having a tungsten carbon nitride layer
US20100068877A1 (en) * 2008-09-12 2010-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method for tuning a work function of high-k metal gate devices
US20120153275A1 (en) * 2010-12-17 2012-06-21 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
KR20140142957A (en) * 2013-06-05 2014-12-15 삼성전자주식회사 Semiconductor device and method for the same
US20150021699A1 (en) * 2013-07-18 2015-01-22 International Business Machines Corporation FIN Field Effect Transistors Having Multiple Threshold Voltages
US20150126023A1 (en) * 2013-11-01 2015-05-07 Globalfoundries Inc. Methods of forming gate structures with multiple work functions and the resulting products
US20150221743A1 (en) * 2014-02-06 2015-08-06 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor device with gate stacks and method of manufacturing the same
US20150249086A1 (en) * 2014-02-28 2015-09-03 International Business Machines Corporation Third type of metal gate stack for cmos devices
KR20160139814A (en) * 2015-05-28 2016-12-07 삼성전자주식회사 Semiconductor device and method for manufacturing the same
US20170062282A1 (en) * 2015-07-27 2017-03-02 United Microelectronics Corp. Semiconductor devices having metal gate and method for manufacturing semiconductor devices having metal gate
US20170133489A1 (en) * 2015-11-05 2017-05-11 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor structures and fabrication methods thereof
US9685520B1 (en) * 2016-11-17 2017-06-20 United Microelectronics Corp. Manufacturing method of semiconductor device
US20170373058A1 (en) * 2016-06-24 2017-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of gate replacement in semiconductor devices
US20180006033A1 (en) * 2016-06-30 2018-01-04 International Business Machines Corporation Integrated metal gate cmos devices

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070158702A1 (en) * 2005-12-30 2007-07-12 Doczy Mark L Transistor including flatband voltage control through interface dipole engineering
JP2009176997A (en) 2008-01-25 2009-08-06 Panasonic Corp Semiconductor device and its production process
US8487378B2 (en) 2011-01-21 2013-07-16 Taiwan Semiconductor Manufacturing Company, Ltd. Non-uniform channel junction-less transistor
US8887106B2 (en) 2011-12-28 2014-11-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating a bias-adjusted layout design of a conductive feature and method of generating a simulation model of a predefined fabrication process
US9093550B1 (en) * 2012-01-31 2015-07-28 Mie Fujitsu Semiconductor Limited Integrated circuits having a plurality of high-K metal gate FETs with various combinations of channel foundation structure and gate stack structure and methods of making same
US8729634B2 (en) 2012-06-15 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
US8826213B1 (en) 2013-03-11 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Parasitic capacitance extraction for FinFETs
US8943455B2 (en) 2013-03-12 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for layout verification for polysilicon cell edge structures in FinFET standard cells
US9362385B2 (en) * 2013-12-18 2016-06-07 Taiwan Semiconductor Manufacturing Company Ltd. Method for tuning threshold voltage of semiconductor device with metal gate structure
CN104821296B (en) * 2014-01-30 2017-11-28 中芯国际集成电路制造(上海)有限公司 Semiconductor devices and forming method thereof
US9576952B2 (en) 2014-02-25 2017-02-21 Globalfoundries Inc. Integrated circuits with varying gate structures and fabrication methods
EP2953162A1 (en) * 2014-06-06 2015-12-09 IMEC vzw Method for manufacturing a semiconductor device comprising transistors each having a different effective work function
JP2016072454A (en) 2014-09-30 2016-05-09 株式会社日立国際電気 Manufacturing method of semiconductor device, substrate processing unit and program
TWI632617B (en) * 2014-11-03 2018-08-11 聯華電子股份有限公司 Semiconductor device and method for fabricating the same
TWI635535B (en) 2015-03-10 2018-09-11 聯華電子股份有限公司 Semiconductor process of forming metal gates with different threshold voltages and semiconductor structure thereof
CN106033745B (en) * 2015-03-19 2020-07-07 联华电子股份有限公司 Semiconductor device and method for forming the same
KR102290685B1 (en) 2015-06-04 2021-08-17 삼성전자주식회사 Semiconductor device
CN106531618B (en) 2015-09-15 2021-05-18 联华电子股份有限公司 Work function adjusting method for semiconductor element with metal gate structure
KR102402761B1 (en) * 2015-10-30 2022-05-26 삼성전자주식회사 Semiconductor device and method for fabricating the same
TWI667698B (en) 2016-01-12 2019-08-01 聯華電子股份有限公司 Semiconductor device and method of forming the same
US10068901B2 (en) * 2016-01-25 2018-09-04 Samsung Electronics Co., Ltd. Semiconductor device including transistors with different threshold voltages
US10037995B2 (en) 2016-02-10 2018-07-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
CN107369650B (en) 2016-05-11 2019-12-31 中芯国际集成电路制造(上海)有限公司 Multi-threshold voltage transistor and forming method thereof
CN107452604B (en) * 2016-06-01 2019-11-01 中芯国际集成电路制造(上海)有限公司 Semiconductor device and its manufacturing method
US10283406B2 (en) * 2017-01-23 2019-05-07 International Business Machines Corporation Fabrication of self-aligned gate contacts and source/drain contacts directly above gate electrodes and source/drains
US10943924B2 (en) * 2018-04-18 2021-03-09 International Business Machines Corporation Semiconductor-on-insulator finFET devices with high thermal conductivity dielectrics

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070128775A1 (en) * 2005-12-02 2007-06-07 Samsung Electronics Co., Ltd. Method of manufacturing a semiconductor device having a tungsten carbon nitride layer
US20100068877A1 (en) * 2008-09-12 2010-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method for tuning a work function of high-k metal gate devices
US20120153275A1 (en) * 2010-12-17 2012-06-21 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
KR20140142957A (en) * 2013-06-05 2014-12-15 삼성전자주식회사 Semiconductor device and method for the same
US20150021699A1 (en) * 2013-07-18 2015-01-22 International Business Machines Corporation FIN Field Effect Transistors Having Multiple Threshold Voltages
US20150126023A1 (en) * 2013-11-01 2015-05-07 Globalfoundries Inc. Methods of forming gate structures with multiple work functions and the resulting products
US20150221743A1 (en) * 2014-02-06 2015-08-06 Taiwan Semiconductor Manufacturing Co., Ltd Semiconductor device with gate stacks and method of manufacturing the same
US20150249086A1 (en) * 2014-02-28 2015-09-03 International Business Machines Corporation Third type of metal gate stack for cmos devices
KR20160139814A (en) * 2015-05-28 2016-12-07 삼성전자주식회사 Semiconductor device and method for manufacturing the same
US20170062282A1 (en) * 2015-07-27 2017-03-02 United Microelectronics Corp. Semiconductor devices having metal gate and method for manufacturing semiconductor devices having metal gate
US20170133489A1 (en) * 2015-11-05 2017-05-11 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor structures and fabrication methods thereof
US20170373058A1 (en) * 2016-06-24 2017-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of gate replacement in semiconductor devices
US20180006033A1 (en) * 2016-06-30 2018-01-04 International Business Machines Corporation Integrated metal gate cmos devices
US9685520B1 (en) * 2016-11-17 2017-06-20 United Microelectronics Corp. Manufacturing method of semiconductor device

Also Published As

Publication number Publication date
US20200105602A1 (en) 2020-04-02
US10867864B2 (en) 2020-12-15
TWI715218B (en) 2021-01-01
KR20200036690A (en) 2020-04-07
TW202027278A (en) 2020-07-16
CN110957270B (en) 2023-01-06
US20210098301A1 (en) 2021-04-01

Similar Documents

Publication Publication Date Title
US10297508B2 (en) Semiconductor device and method
US10868188B2 (en) Semiconductor device and method
CN110957270B (en) Semiconductor device and method of manufacture
CN109216456B (en) Semiconductor device and method
CN108172516B (en) Semiconductor device and method for manufacturing the same
CN107689395B (en) Semiconductor device and method
US20220173222A1 (en) Semiconductor Device and Method of Manufacture
KR102370296B1 (en) Semiconductor device and method of manufacture
KR102374899B1 (en) Semiconductor device and method of manufacture
US11437280B2 (en) Semiconductor device and method of manufacture
US11127857B2 (en) Semiconductor device and method of manufacture
US20230335551A1 (en) Semiconductor device and method of manufacture
CN116666306A (en) Semiconductor device and method for manufacturing the same
CN115458601A (en) Semiconductor device and method for manufacturing the same

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant