US20150126023A1 - Methods of forming gate structures with multiple work functions and the resulting products - Google Patents

Methods of forming gate structures with multiple work functions and the resulting products Download PDF

Info

Publication number
US20150126023A1
US20150126023A1 US14/069,782 US201314069782A US2015126023A1 US 20150126023 A1 US20150126023 A1 US 20150126023A1 US 201314069782 A US201314069782 A US 201314069782A US 2015126023 A1 US2015126023 A1 US 2015126023A1
Authority
US
United States
Prior art keywords
gate
nmos
pmos
layer
insulation layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US14/069,782
Other versions
US9012319B1 (en
Inventor
Kisik Choi
Hoon Kim
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
GlobalFoundries US Inc
Original Assignee
GlobalFoundries Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by GlobalFoundries Inc filed Critical GlobalFoundries Inc
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHOI, KISIK, KIM, HOON
Priority to US14/069,782 priority Critical patent/US9012319B1/en
Priority to SG10201404645QA priority patent/SG10201404645QA/en
Priority to TW103128750A priority patent/TWI536544B/en
Priority to KR1020140145486A priority patent/KR20150051147A/en
Priority to CN201410602674.9A priority patent/CN104616994A/en
Priority to DE102014222289.3A priority patent/DE102014222289B4/en
Publication of US9012319B1 publication Critical patent/US9012319B1/en
Application granted granted Critical
Publication of US20150126023A1 publication Critical patent/US20150126023A1/en
Assigned to WILMINGTON TRUST, NATIONAL ASSOCIATION reassignment WILMINGTON TRUST, NATIONAL ASSOCIATION SECURITY AGREEMENT Assignors: GLOBALFOUNDRIES INC.
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: GLOBALFOUNDRIES INC.
Assigned to GLOBALFOUNDRIES INC. reassignment GLOBALFOUNDRIES INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Assigned to GLOBALFOUNDRIES U.S. INC. reassignment GLOBALFOUNDRIES U.S. INC. RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: WILMINGTON TRUST, NATIONAL ASSOCIATION
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/512Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being parallel to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28247Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon passivation or protection of the electrode, e.g. using re-oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2

Definitions

  • the present disclosure relates to the manufacture of semiconductor devices, and, more specifically, to various novel methods of forming gate structures for transistors with multiple work function values and various integrated circuit products containing such transistors.
  • MOSFETs metal oxide semiconductor field effect transistors
  • FETs field effect transistors
  • the transistors are typically either NMOS (NFET) or PMOS (PFET) type devices wherein the “N” and “P” designation is based upon the type of dopants used to create the source/drain regions of the devices.
  • CMOS Complementary Metal Oxide Semiconductor
  • Field effect transistors typically include a source region, a drain region, a channel region that is positioned between the source region and the drain region, and a gate electrode positioned above the channel region. Current flow through the FET is controlled by controlling the voltage applied to the gate electrode. For an NMOS device, if there is no voltage (or a logically low voltage) applied to the gate electrode, then there is no current flow through the device (ignoring undesirable leakage currents, which are relatively small). However, when an appropriate positive voltage (or logically high voltage) is applied to the gate electrode, the channel region of the NMOS device becomes conductive, and electrical current is permitted to flow between the source region and the drain region through the conductive channel region. For a PMOS device, the control voltages are reversed. Field effect transistors may come in a variety of different physical shapes, e.g., so-called planar FET devices or so-called 3D or FinFET devices.
  • planar FET devices were the dominant choice for making integrated circuit products due to the relatively easier manufacturing methods that are used to form such planar devices as compared to the manufacturing methods involved in forming 3D devices.
  • device designers have greatly reduced the physical size of planar FETs over the years. More specifically, the channel length of planar FETs has been significantly decreased, which has resulted in improving the switching speed of planar FETs.
  • decreasing the channel length of a planar FET also decreases the distance between the source region and the drain region.
  • this decrease in the separation between the source and the drain regions makes it difficult to efficiently inhibit the electrical potential of the source region and the channel from being adversely affected by the electrical potential of the drain region. This is sometimes referred to as so-called short channel effects, wherein the characteristic of the planar FET as an active switch is degraded.
  • a so-called 3D or FinFET device has a three-dimensional (3D) structure. More specifically, in a FinFET, a generally vertically positioned fin-shaped active area is formed in a semiconductor substrate and a gate structure (gate insulation layer plus the gate electrode) is positioned around both of the sides and the upper surface of the fin-shaped active area to form a tri-gate structure so as to use a channel having a three-dimensional structure instead of a planar structure.
  • an insulating cap layer e.g., silicon nitride, is positioned at the top of the fin and the FinFET device only has a dual-gate structure.
  • a channel is formed perpendicular to a surface of the semiconducting substrate so as to reduce the physical size of the semiconductor device. Also, in a FinFET, the junction capacitance at the drain region of the device is greatly reduced, which tends to reduce at least some short channel effects.
  • the surfaces (and the inner portion near the surface) of the fins i.e., the substantially vertically oriented sidewalls and the top upper surface of the fin, become a conductive channel region thereby allowing current to flow.
  • the “channel-width” is approximately two times (2 ⁇ ) the vertical fin-height plus the width of the top surface of the fin, i.e., the fin width.
  • Multiple fins can be formed in the same foot-print as that of a planar transistor device. Accordingly, for a given plot space (or foot-print), FinFETs tend to be able to generate significantly stronger drive currents than planar transistor devices. Additionally, the leakage current of FinFET devices after the device is turned “OFF” is significantly reduced as compared to the leakage current of planar FETs due to the superior gate electrostatic control of the “fin” channel on FinFET devices. In short, the 3D structure of a FinFET device is a superior MOSFET structure as compared to that of a planar FET, especially in the 20 nm CMOS technology node and beyond.
  • the gate structures of most transistor elements has been comprised of a plurality of silicon-based materials, such as a silicon dioxide and/or silicon oxynitride gate insulation layer, in combination with a polysilicon gate electrode.
  • silicon-based materials such as a silicon dioxide and/or silicon oxynitride gate insulation layer
  • many newer generation devices employ gate structures that contain alternative materials in an effort to avoid the short channel effects which may be associated with the use of traditional silicon-based materials in reduced channel length transistors.
  • gate structures that include a so-called high-k dielectric gate insulation layer and one or metal layers that function as the gate electrode (HK/MG) have been implemented.
  • Such alternative gate structures have been shown to provide significantly enhanced operational characteristics over the heretofore more traditional silicon dioxide/polysilicon gate structure configurations.
  • a high-k gate insulation layer may include tantalum oxide (Ta 2 O 5 ), hafnium oxide (HfO 2 ), zirconium oxide (ZrO 2 ), titanium oxide (TiO 2 ), aluminum oxide (Al 2 O 3 ), hafnium silicates (HfSiO x ) and the like.
  • one or more non-polysilicon metal gate electrode materials may be used in HK/MG configurations so as to control the work function of the transistor.
  • These metal gate electrode materials may include, for example, one or more layers of titanium (Ti), titanium nitride (TiN), titanium-aluminum (TiAl), titanium-aluminum-carbon (TiALC), aluminum (Al), aluminum nitride (AlN), tantalum (Ta), tantalum nitride (TaN), tantalum carbide (TaC), tantalum carbonitride (TaCN), tantalum silicon nitride (TaSiN), tantalum silicide (TaSi) and the like.
  • transistor devices are sometimes intentionally formed so as to exhibit different threshold voltage levels.
  • a transistor having a relatively lower threshold voltage will operate at a higher switching speed than that of a corresponding transistor with a relatively higher threshold voltage level.
  • Such “low-V t ” (LVT) transistor devices are typically employed in portions of an integrated circuit product where device performance or speed is desirable or critical, e.g., in the logic circuits of an integrated circuit product.
  • Unfortunately, such low-V t devices tend to exhibit more off-state leakage currents, which means that they consume more power than would otherwise be desired.
  • all other things being equal such low-V t devices are poor choices in applications where reduced power consumption is important, e.g., mobile computing applications, cell phone applications, etc.
  • HVT high-V t
  • Such high-V t devices are typically employed in portions of an integrated circuit product where device performance or speed is less critical, e.g., SRAM circuits.
  • Device designers can also make so-called “regular-V t ” (RVT) transistor devices that have a threshold voltage level that is intermediate to that of the low-V t devices and the high-V t devices.
  • RVT regular-V t
  • the absolute value of these threshold voltage levels may vary depending upon a variety of factors.
  • One technique simply involves changing the gate length—the distance between the source region and the drain region—of the transistor. All other things being equal, a transistor with a shorter gate length will operate at faster speeds, but it will exhibit higher off-state leakage currents than a corresponding transistor having a larger channel length. Device dimensions have decreased to the point where gate lengths are so small that manufacturing devices with ever smaller gate lengths is very challenging, time-consuming and expensive. Thus, adjusting threshold voltage levels by continuing to reduce the channel length of the transistor devices is becoming more problematic.
  • CMOS integration schemes using a silicon substrate a work function metal having a work function near the conduction band edge of silicon (about 4.0 eV) is necessary for NMOS type devices, while a different work function metal having a work function near the valance band edge of silicon (about 5.1-5.2 eV) is necessary for PMOS devices.
  • CMOS integration schemes employing high-k gate dielectric materials at least two types of gate stacks are needed, i.e., a stack of suitable materials that satisfies the individual work function requirements for the PMOS devices and a different stack of materials that satisfies the individual work function requirements for the NMOS devices.
  • the gate stack for the PMOS devices provides a flat band voltage closer to the valence band edge of the material of the channel of the PMOS devices
  • the gate stack for the NMOS devices provides a flat band voltage closer to the conduction band edge of the material of the channel of the NMOS devices.
  • a layer of high-k material e.g., hafnium oxide
  • the gate stack of the PMOS device is comprised of three layers of material (the high-k gate insulation material, the P-work function metal and the N-work function metal), whereas the gate stack of the NMOS device is comprised of only two layers of material (the high-k gate insulation material and the N-work function metal).
  • the above-mentioned layer stacking process can be expanded to achieve transistor devices having additional work function levels by simply using the appropriate masking, metal deposition and metal etching steps.
  • the regions of the substrate where the third device will be formed could have been etched so as to clear the gate insulation layer of the P- and N-work function metals described above.
  • the gate stack of the PMOS device is comprised of four layers of material (the high-k gate insulation material, the P-work function metal, the N-work function metal and the third metal layer)
  • the gate stack of the NMOS device is comprised of three layers of material (the high-k gate insulation material, the N-work function metal and the third metal layer)
  • the gate stack of the third device is comprised of only two layers of material (the high-k gate insulation material and the third metal layer).
  • the above-mentioned layer stacking process can become quite unwieldy and complex as more and more version of transistor devices with different threshold voltage levels are fabricated.
  • etching of metals tends to be more difficult and the different physical heights of the gate stacks of the various devices can cause problems during subsequent processing operations.
  • Such a lack of planarity can lead to problems in accurately patterning the deposited layer of material due to depth-of-focus variations when it comes to exposing a layer of photoresist material positioned above the deposited layer.
  • the present disclosure is directed to novel methods of forming gate structure transistors with multiple work function values and various integrated circuit products containing such transistors that may solve or reduce one or more of the problems identified above.
  • One illustrative method disclosed herein includes, among other things, performing at least one etching process to remove a sacrificial gate structure for an NMOS transistor and a sacrificial gate structure for a PMOS transistor to thereby define an NMOS gate cavity and a PMOS gate cavity, forming a high-k gate insulation layer in the NMOS gate cavity and in the PMOS gate cavity, forming a lanthanide-based material layer on the high-k gate insulation layer that is positioned within the NMOS and PMOS gate cavities, performing at least one heating process to drive material from the lanthanide-based material layer into the high-k gate insulation layer so as to thereby form a lanthanide-containing high-k gate insulation layer in each of the NMOS and PMOS gate cavities, and performing at least one process operation to form a first gate electrode structure above the lanthanide-containing high-
  • Another illustrative method disclosed herein includes, among other things, performing at least one etching process to remove a sacrificial gate structure for an NMOS transistor and a sacrificial gate structure for a PMOS transistor to thereby define an NMOS gate cavity and a PMOS gate cavity, forming a high-k gate insulation layer comprised of hafnium oxide in the NMOS gate cavity and in the PMOS gate cavity, forming a layer of lanthanum oxide on the high-k gate insulation layer that is positioned within the NMOS and PMOS gate cavities, performing at least one heating process to drive lanthanum from the layer of lanthanum oxide into the high-k gate insulation layer so as to thereby form a hafnium-lanthanum oxide gate insulation layer in each of the NMOS and PMOS gate cavities, and performing at least one process operation to form a first gate electrode structure on the hafnium-lanthanum oxide gate insulation layer in the NMOS gate cavity and a second gate electrode structure on the hafnium-
  • Yet another illustrative method disclosed herein includes, among other things, performing at least one etching process to remove a sacrificial gate structure for a first NMOS transistor and a sacrificial gate structure for each of a first and a second PMOS transistor to thereby define an NMOS gate cavity and first and second PMOS gate cavities, forming a high-k gate insulation layer in each of the NMOS gate cavity and within the first and second PMOS gate cavities, forming a patterned hard mask layer that covers the high-k gate insulation layer within the first PMOS gate cavity while leaving the high-k gate insulation layer within the NMOS gate cavity and within the second PMOS gate cavity exposed, forming a lanthanide-based material layer on the exposed high-k gate insulation layer in the NMOS gate cavity, on the exposed high-k gate insulation layer in the second PMOS gate cavity and above the patterned hard mask layer, performing at least one heating process to drive material from the lanthanide-based material layer into the high-k gate insulation layer in the NMOS gate
  • One example of a novel integrated circuit product disclosed herein includes, among other things, an NMOS transistor having a gate structure comprised of an NMOS gate insulation layer comprised of lanthanide-containing high-k insulation material and an NMOS work function adjusting metal layer positioned above the NMOS gate insulation layer and a PMOS transistor having a gate structure comprised of a PMOS gate insulation layer comprised of the lanthanide-containing high-k gate insulation material and a PMOS work function adjusting metal layer positioned above the PMOS gate insulation material.
  • Yet another novel device disclosed herein includes, among other things, a first NMOS transistor having a gate structure comprised of a first NMOS gate insulation layer comprised of lanthanide-containing high-k insulation material and a first NMOS work function adjusting metal layer positioned above the first NMOS gate insulation layer.
  • the device also includes a second NMOS transistor having a gate structure comprised of a second NMOS gate insulation layer comprised of a high-k insulation material and a second NMOS work function adjusting metal layer positioned above the second NMOS gate insulation layer, wherein the first and second NMOS work function adjusting metal layers are comprised of the NMOS work function adjusting material.
  • the device further includes a first PMOS transistor having a gate structure comprised of a first PMOS gate insulation layer comprised of the high-k insulation material and a first PMOS work function adjusting metal layer positioned above the first PMOS gate insulation layer.
  • the device also includes a second PMOS transistor having a gate structure comprised of a second PMOS gate insulation layer comprised of the lanthanide-containing high-k insulation material and a second PMOS work function adjusting metal layer positioned above the second PMOS gate insulation layer, wherein the first and second PMOS work function adjusting metal layers are comprised of the same PMOS work function adjusting material.
  • FIGS. 1A-1W depict various illustrative methods disclosed herein for forming gate structures for CMOS-based integrated circuit products and various novel CMOS-based integrated circuit products.
  • the present disclosure is directed to novel methods of forming gate structures for transistors with multiple work function values and various integrated circuit products containing such transistors.
  • the methods disclosed herein may be employed in manufacturing a variety of different devices, including, but not limited to, logic devices, memory devices, etc.
  • various illustrative embodiments of the methods and devices disclosed herein will now be described in more detail.
  • the inventions disclosed herein may be employed in forming integrated circuit products using planar transistor devices, as well as so-called 3D devices, such as FiNFETs, or a combination of such devices.
  • planar transistor devices such as FinFETs
  • 3D devices such as FiNFETs
  • FiNFETs FiNFETs
  • the inventions will be disclosed in the context of forming the gate structures using a replacement gate processing technique.
  • the methods, structures and products disclosed herein may be employed where the gate structures of the transistors are formed using so-called gate-first processing techniques.
  • the inventions disclosed herein should not be considered to be limited to the illustrative examples depicted and described herein.
  • FIG. 1A is a simplified, cross-sectional view of an illustrative integrated circuit product 100 at an early stage of manufacturing.
  • a plurality of transistor devices, 10 N 1 , 10 N 2 , 10 P 1 and 10 P 2 will be formed above a semiconductor substrate 12 using a so-called replacement gate technique.
  • the substrate 12 may have a variety of configurations, such as the depicted bulk silicon configuration.
  • the substrate 12 may also have a silicon-on-insulator (SOI) configuration that includes a bulk silicon layer, a buried insulation layer and an active layer, wherein semiconductor devices are formed in and above the active layer.
  • SOI silicon-on-insulator
  • the substrate 12 may be made of silicon or it may be made of materials other than silicon.
  • the terms “substrate” or “semiconductor substrate” should be understood to cover all semiconducting materials and all forms of such materials.
  • the cross-sectional view depicted in the attached figures is taken through the long axis of an illustrative fin 13 that is formed from the substrate 12 .
  • the cross-sectional views depicted in the attached drawings are taken through the gate structures of the various transistors in a direction that corresponds to the gate length direction of the transistors. So as not to obscure the inventions disclosed herein, isolation regions that are formed in the substrate 12 to define active regions where the transistor devices, 10 N 1 , 10 N 2 , 10 P 1 and 10 P 2 will be formed are not depicted in the attached drawings. Additionally, various doped regions, e.g., source/drain regions, halo implant regions, well regions and the like, are also not depicted in the attached drawings.
  • each of the transistor devices, 10 N 1 , 10 N 2 , 10 P 1 and 10 P 2 will be formed so as to have a different work function value.
  • the transistor devices 10 N 1 and 10 N 2 are NMOS devices, while the transistors 10 P 1 and 10 P 2 are PMOS devices.
  • the NMOS transistor device 10 N 1 will be formed so as to exhibit a lower work function value than the NMOS transistor device 10 N 2 .
  • the PMOS transistor device 10 P 1 will be formed so as to exhibit a higher work function value than the PMOS transistor device 10 P 2 .
  • the illustrative NMOS transistor device 10 N 1 and PMOS transistor device 10 P 1 may be employed in applications where higher performance, e.g., higher switching speeds, is an important design consideration, e.g. N-logic circuits and P-logic circuits, respectively.
  • the illustrative NMOS transistor device 10 N 2 and PMOS transistor device 10 P 2 may be employed in applications where reduced power consumption, e.g., lower off-state leakage currents, is an important design consideration, e.g., N-based SRAM circuits and P-based SRAM circuits, respectively.
  • the product 100 is depicted at a point in fabrication wherein sacrificial gate structures 14 have been formed above the substrate 12 and any gate cap layers (not shown) that were previously positioned above the sacrificial gate structures 14 have been removed.
  • source/drain regions (not shown) would have already been formed in the substrate 12 and an anneal process would have been performed to activate the implanted dopant materials and repair any damage to the substrate 12 due to the various ion implantation processes that were performed.
  • the sacrificial gate structures 14 include a sacrificial gate insulation layer 14 A and a dummy or sacrificial gate electrode 14 B.
  • the various components and structures of the product 100 may be formed using a variety of different materials and by performing a variety of known techniques.
  • the sacrificial gate insulation layer 14 A may be comprised of silicon dioxide
  • the sacrificial gate electrode 14 B may be comprised of polysilicon
  • the sidewall spacers 16 may be comprised of silicon nitride
  • the layer of insulating material 18 may be comprised of silicon dioxide.
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • PVD physical vapor deposition
  • one or more etching processes are performed to remove the sacrificial gate electrodes 14 B and the sacrificial gate insulation layers 14 A to thereby define a plurality of gate cavities 20 A-D where a different replacement gate structure will subsequently be formed for each of the transistor devices, 10 N 1 , 10 N 2 , 10 P 1 and 10 P 2 , respectively.
  • the sacrificial gate insulation layers 14 B are removed as part of the replacement gate technique, as depicted herein.
  • the sacrificial gate insulation layers 14 B may not be removed in all applications. Even in cases where the sacrificial gate insulation layer 14 B is intentionally removed, there will typically be a very thin native oxide layer (not shown) that forms on the substrate 12 within the gate cavities 20 A-D.
  • FIG. 1C depicts the product 100 after several process operations were performed.
  • a pre-clean process was performed in an attempt to remove all foreign materials from within the gate cavities 20 A-D prior to forming the various layers of material that will become part of the replacement gate structures.
  • a high-k (k value greater than 10) gate insulation layer 22 such as hafnium oxide, having a thickness of approximately 1-3 nm was initially deposited in the gate cavities 20 A-D by performing an ALD process.
  • FIG. 1D depicts the product 100 after several process operations were performed so as to ultimately form a patterned sacrificial hard mask 24 above the high-k gate insulation layer 22 in each of the gate cavities 20 B and 20 D, while leaving the high-k gate insulation layer 22 within the gate cavities 20 A and 20 C exposed.
  • the sacrificial hard mask 24 may be comprised of a variety of different materials, e.g., polysilicon, a metal, etc.
  • the sacrificial hard mask 24 may be comprised of a layer of titanium nitride and it may be formed to any desired thickness.
  • the patterned sacrificial hard mask 24 may be formed by blanket-depositing (via PVD or CVD) a hard mask material layer on the product 100 so as to over-fill all of the gate cavities 20 A-D, forming a patterned photoresist etch mask (not shown) above the deposited hard mask material layer, and thereafter performing an etching process to remove the desired portions of the deposited hard mask material layer so as to thereby result in the depicted patterned sacrificial hard mask 24 .
  • the high-k gate insulation layer 22 may serve as an etch stop during the patterning of the hard mask material layer. Thereafter, the patterned photoresist etch mask may be removed so as to arrive at the structure depicted in FIG. 1D .
  • FIG. 1E depicts the product after a lanthanide-based material layer 26 has been formed on the exposed portions of the high-k gate insulation layer 22 and on the patterned sacrificial hard mask 24 .
  • the lanthanide-based material layer 26 may be in the form of a metal, an oxide, a carbide, a halide or a nitride, its thickness may vary depending upon the particular application, and it may be formed using any desired process, e.g., ALD, PVD, CVD, etc.
  • the lanthanide-based material layer 26 is a layer of lanthanum oxide that has a thickness of about 1 nm that was formed by performing an ALD process.
  • the thickness of the lanthanide-based material layer 26 may be varied to change the magnitude of the band-gap phase shift in the affected transistor devices.
  • use of a relatively thicker lanthanide-based material layer 26 will result in a relatively greater magnitude in the band-gap phase shift of the affected devices.
  • a larger thermal budget induces more diffusion from the lanthanide-based material layer 26 , which creates a larger shift in the device.
  • an anneal process has been performed to drive-in or intermix some of the lanthanide-based material layer 26 with the portions of the high-k gate insulation layer 22 that it contacts.
  • This process results in forming a plurality of lanthanide-containing high-k insulating material layers 22 A, i.e., intermixed layers 22 A that are an intermixture or alloy of the high-k insulation material and portions of the lanthanide-based material layer 26 .
  • the intermixed layers 22 A may be comprised of hafnium-lanthanum oxide (HfLa x O y ).
  • the anneal process is performed for such a duration that the entire thickness of the original high-k gate insulation layer 22 is intermixed with the lanthanum-based materials from the lanthanide-based material layer 26 .
  • the drive-in anneal process may be performed at a temperature that falls within the range of about 500-1200° C. for a duration of a few nanoseconds up to about 1-10 seconds.
  • the type of anneal process that is performed may vary depending upon the particular application, e.g., a spike anneal, a laser anneal, an RTA process, etc.
  • the drive-in anneal process described above may be performed as part of or in addition to a so-called reliability anneal process that is typically performed to increase the reliability of the high-k gate insulation layer 22 .
  • additional layers of material may be formed above the lanthanide-based material layer 26 prior to performing the drive-in anneal process described above. For example, a layer of titanium nitride (not shown) with a thickness of about 1-2 nm may be formed on the lanthanide-based material layer 26 .
  • a layer of polysilicon or amorphous silicon may be blanket-deposited on the layer of titanium nitride so as to over-fill the gate cavities 20 A and 20 C.
  • the above-described drive-in anneal process may then be performed as part of or in addition to the traditional reliability anneal process that is performed on the high-k gate insulation layer 22 .
  • FIG. 1G depicts the product 100 after one or more etching processes have been performed to remove all materials except the remaining portions of the high-k gate insulation layer 22 (in the gate cavities 20 B and 20 D) and the intermixed layers 22 A (in the gate cavities 20 A and 20 C).
  • the etching sequence might be as follows: a DHF-based etch process followed by an NH 4 OH-based wet process to remove the surface oxide layer and the underlying polysilicon/amorphous silicon sequentially; an SC1-based etch process to remove the layer of titanium nitride; an SC2-based etch process to remove the lanthanum oxide; and another SC-1 based etch process to remove the patterned sacrificial hard mask 24 (when it is made of titanium nitride).
  • a first metal layer 28 is formed on the high-k gate insulation layer 22 and intermixed layers 22 A within the gate cavities 20 A-D.
  • the first metal layer 28 is comprised of a metal that will serve as the work function adjusting metal for the PMOS transistors 10 P 1 and 10 P 2 , i.e., the layer 28 is a P-work function metal.
  • the thickness of the first metal layer 28 may vary depending upon the particular application, and it may be formed using any desired process, e.g., ALD, PVD, etc.
  • the first metal layer 28 may be a layer of titanium nitride, TaN, WN, TiC, TaC, etc., it may have a thickness of about 2-7 nm, and it may be formed by performing an ALD process or a plasma-enhanced physical vapor deposition (PVD) process.
  • PVD plasma-enhanced physical vapor deposition
  • FIG. 1I depicts the product 100 after a patterned masking layer 30 is formed above the product 100 .
  • the patterned masking layer 30 covers the PMOS regions, i.e., PMOS transistors 10 P 1 and 10 P 2 , while leaving the NMOS regions, i.e., NMOS transistors 10 N 1 and 10 N 2 , exposed for further processing.
  • the patterned masking layer 30 may be a patterned layer(s) of photoresist material(s) that may be formed using known photolithography tools and techniques.
  • FIG. 1J depicts the product 100 after a dry or wet etching process was performed to remove the exposed portions of first metal layer 28 . More specifically, the first metal layer 28 (the P-work function metal) was removed from the gate cavities 20 A and 20 B of NMOS transistors 10 N 1 and 10 N 2 , respectively. As a result of this etching process, the remaining portions of the first metal layer 28 A are positioned only in the gate cavities 20 C and 20 D for the PMOS transistors 10 P 2 and 10 P 1 , respectively.
  • FIG. 1K depicts the product 100 after the patterned mask layer 30 was removed.
  • the mask layer 30 may be removed by performing a variety of known processes, e.g., ashing.
  • an optional second metal layer 32 was formed on the product 100 .
  • the second metal layer 32 is comprised of a metal that will serve as a barrier layer to prevent diffusion of the N-work function metal into the underlying gate insulation layers.
  • the N-work function metal may be deposited directly on the gate insulation layers.
  • the second metal layer 32 may be a layer of titanium nitride, TaN, TiSiN, TaSiN, WN, or WSiN, it may have a thickness of about 0.5-2 nm, and it may be formed by performing an ALD process.
  • the third metal layer 34 is comprised of a metal that will function as the work function adjusting metal for the NMOS devices.
  • the third metal layer 34 may be a layer of titanium aluminum carbon (TiAlC), TiAl, TiAlN, TaAl, TaAlC, HfAlC, HfAl, WSi, TiSi, HfSi or any other N-type work function metal, it may have a thickness of about 1-8 nm, and it may be formed by performing an ALD process.
  • TiAlC titanium aluminum carbon
  • a fourth metal layer 36 was formed on the third metal layer 34 .
  • the fourth metal layer 36 is comprised of a metal that will function as an adhesion layer for conductive materials like W, Al, Ti, Co and their alloys, and it will also serve as a protection layer to prevent oxidation of the N-work function layer.
  • the fourth metal layer 36 may not be required in all applications. For example, if the conductive material that is yet to be formed exhibits good adhesion properties with respect to the N-work function metal, then the fourth metal layer 36 may be omitted in those applications.
  • the fourth metal layer 36 may be a layer of titanium nitride, TaN, TiSiN, TaSiN, WN or WSiN, it may have a thickness of about 1-6 nm, and it may be formed by performing an ALD or a CVD process.
  • FIG. 1O depicts the product 100 after a sacrificial layer 40 was blanket-deposited on the product 100 so as to over-fill the gate cavities 20 A-D.
  • the sacrificial layer 40 may be comprised of a variety of different materials, e.g., OPL, etc., and it may be formed by performing, for example, a spin-coating process.
  • the sacrificial layer 40 may be formed to any desired thickness. If desired, a CMP process may be performed on the sacrificial layer 40 .
  • FIG. 1P depicts the product 100 after one or more planarization processes, e.g., CMP processes, were performed to remove the portions of the various layers of material described above that were positioned above the surface 18 S of the layer of insulating material 18 and outside of the gate cavities 20 A-D.
  • planarization processes e.g., CMP processes
  • a dry or wet etching process was performed to recess the sacrificial layer 40 within the gate cavities 20 A-D.
  • the recessing process may be a timed etching process and the remaining portions of the sacrificial material layer 40 positioned within the gate cavities 20 A- 20 D may have a thickness (in the vertical direction) that falls within the range of about 50-90 nm, in the case where the total gate height may be about 100 nm (e.g., from the top of a fin).
  • FIG. 1R depicts the product 100 after one or more timed etching processes, e.g., timed dry or wet etching processes, were performed to remove portions of the layers 22 A, 22 , 28 A, 32 , 34 and 36 positioned within the gate cavities 20 A-D.
  • timed etching processes e.g., timed dry or wet etching processes
  • FIG. 1S depicts the product after several process operations were performed. First an etching or solvent-based process operation was performed to remove the remaining portions of the sacrificial material layer 40 from within the gate cavities 20 A- 20 D. Then, a conductive material layer 42 was blanket-deposited on the product 100 so as to over-fill the gate cavities 20 A-D.
  • the conductive material layer 42 may be comprised of a variety of different conductive materials, e.g., a metal such as W, Al, Co, Ti, Ni, any alloys that include such metals, a metal silicide, highly-doped polysilicon, etc., and it may be formed by performing, for example, a CVD, ALD or PVD process, while perhaps including a reflow step.
  • an adhesion or wetting layer may need to be formed prior to forming the conductive material layer due to poor nucleation or poor adhesion on spacer dielectric, e.g., CVD W needs ALD TiN as wetting and adhesion layer.
  • the conductive material layer 42 may be formed to any desired thickness.
  • one or more planarization processes e.g., CMP processes, were performed to remove the portions of the conductive material layer 42 positioned above the surface 18 S of the layer of insulating material 18 and outside of the gate cavities 20 A-D.
  • FIG. 1T depicts the product 100 after several process operations were performed.
  • a dry or wet etching process was performed to recess the conductive material layer 42 within the gate cavities 20 A-D.
  • the recessing process may be a timed etching process and the remaining portions of the sacrificial material layer 42 positioned within the gate cavities 20 A- 20 D may be recessed so as to have any desired thickness.
  • a gate cap layer 44 was formed in each of the gate cavities 20 A-D.
  • the gate cap layers 44 may be comprised of, for example, silicon nitride, and they may be formed by blanket-depositing a layer of gate cap material (not shown) so as to over-fill the gate cavities 20 A-D and thereafter performing one or more planarization processes, e.g., CMP processes, to remove the portions of the gate cap material layer positioned above the surface 18 S of the layer of insulating material 18 and outside of the gate cavities 20 A-D.
  • the final gate structures 50 N 1 , 50 N 2 , 50 P 1 and 50 P 2 have been formed for the transistor devices 10 N 1 , 10 N 2 , 10 P 1 and 10 P 2 , respectively.
  • the integrated circuit product 100 may be completed by performing several traditional manufacturing processes, e.g., the formation of contacts to the source/drain regions of the device, the formation of various metallization layers for the product, etc.
  • the transistor devices 10 N 1 , 10 N 2 , 10 P 1 and 10 P 2 may be formed with different gate structures and they may be formed so as to have four different work function values. Accordingly, the transistor devices 10 N 1 , 10 N 2 , 10 P 1 and 10 P 2 of the product 100 will have different threshold voltage levels.
  • the methods disclosed herein result in a phase shift in band gap voltage of about minus 0.16 eV for the devices 10 N 1 (4.33 eV) and 10 P 2 (4.76 eV) that include the lanthanide-containing gate insulating layers 22 A as compared to the corresponding devices 10 N 2 (4.49 eV) and 10 P 1 (4.92 eV), respectively, that have the traditional high-k gate insulating material 22 as the gate insulation layer.
  • the lanthanide-based material layer 26 was a layer of lanthanum oxide that was formed to a thickness of about 0.1-1 nm.
  • the use of the lanthanide-containing gate insulating layers 22 A provides an effective means to control the work function of the PMOS devices as well as the NMOS devices. Providing device designers with techniques to manufacture multiple-V t devices gives designers increased flexibility as it relates to designing ever more complex and sophisticated integrated circuit products.
  • the table below sets forth some aspects of the novel structure 100 depicted in FIG. 1T .
  • FIG. 1U depicts an embodiment of another integrated circuit product 101 that includes three illustrative transistor devices 10 N 1 , 10 N 2 and 10 P 1 that may be formed with different gate structures so as to have three different work function values and different threshold voltage levels.
  • the methods disclosed herein result in a phase shift in work function of about minus 0.3 eV for the device 10 N 1 (4.3 eV) that includes the lanthanide-containing gate insulating layer 22 A as compared to the corresponding device 10 N 2 (4.6 eV) that has a traditional high-k gate insulating material 22 and a midgap work function metal.
  • the device 10 P 1 (4.9 eV) is formed with a traditional high-k gate insulation layer 22 .
  • the device 10 N 2 may be thought of as a “mid-gap” device.
  • the mid-gap device could have equally been made as a PMOS device that employed the lanthanide-containing gate insulating layer 22 A disclosed herein, i.e., an opposite case of the above-mentioned embodiment where N-work function metal is used for 10 N 1 , P-work function metal is used for 10 N 2 and 10 P 1 where La 2 O 3 layer is incorporated into 10 N 2 to make the ⁇ 0.3 eV shift from 4.9 eV.
  • the lanthanide-based material layer 26 was a layer of lanthanum oxide that was formed to a thickness of about 0.1-1 nm ( 1 - 10 A), which accounts for the relatively higher band-gap voltage ( ⁇ 0.3 eV) shift for this embodiment as compared to the embodiment shown in FIG. 1T .
  • the table below sets forth some aspects of the novel structure 101 depicted in FIG. 1U .
  • FIG. 1V depicts an embodiment of another integrated circuit product 102 that includes three illustrative transistor devices 10 N 1 , 10 P 1 and 10 P 2 that may be formed with different gate structures so as to have three different work function values and different threshold voltage levels. More specifically, in the depicted example, the methods disclosed herein result in a phase shift in work function of about minus 0.3 eV for the device 10 P 2 (4.6 eV) that includes the lanthanide-containing gate insulating layer 22 A as compared to the corresponding device 10 P 1 (4.9 eV) that is formed with a traditional high-k gate insulation layer 22 . In this embodiment, the device 10 N 1 (4.3 eV) is also formed with the traditional high-k gate insulation layer 22 .
  • the table below sets forth some aspects of the novel structure 101 depicted in FIG. 1V .
  • FIG. 1W depicts an embodiment of another integrated circuit product 103 that includes two illustrative transistor devices 10 N 1 and 10 P 1 that may be formed with different gate structures so as to have two different work function values and different threshold voltage levels. More specifically, in the depicted example, the methods disclosed herein result in a phase shift in band gap voltage of about minus 0.6 eV for the device 10 N 1 (4.3 eV) that include the lanthanide-containing gate insulating layer 22 A as compared to the device 10 P 1 (4.9 eV) that is formed with a traditional high-k gate insulation layer 22 .
  • the lanthanide-based material layer 26 was a layer of lanthanum oxide that was formed to a thickness of about 0.5-2 nm, which accounts for the relatively higher band-gap voltage shift for this embodiment ( ⁇ 0.6 eV) as compared to the other embodiments shown in FIGS. 1S-1T above.
  • the table below sets forth some aspects of the novel structure 103 depicted in FIG. 1W .
  • the methodologies disclosed herein are equally compatible with forming replacement gate structures for NMOS and PMOS devices, as shown above.
  • the methods disclosed here have significant value as it relates to forming integrated circuit products using CMOS technology.
  • Other benefits will be apparent to those skilled in the art after a complete reading of the present application.
  • the integrated circuit products 100 - 103 may be completed by performing several traditional manufacturing processes, e.g., the formation of contacts to the source/drain regions of the device, the formation of various metallization layers for the product, etc.

Abstract

One illustrative method disclosed herein includes removing sacrificial gate structures for NMOS and PMOS transistors to thereby define NMOS and PMOS gate cavities, forming a high-k gate insulation layer in the NMOS and PMOS gate cavities, forming a lanthanide-based material layer on the high-k gate insulation layer in the NMOS and PMOS gate cavities, performing a heating process to drive material from the lanthanide-based material layer into the high-k gate insulation layer so as to thereby form a lanthanide-containing high-k gate insulation layer in each of the NMOS and PMOS gate cavities, and forming gate electrode structures above the lanthanide-containing high-k gate insulation layer in the NMOS and PMOS gate cavities.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • Generally, the present disclosure relates to the manufacture of semiconductor devices, and, more specifically, to various novel methods of forming gate structures for transistors with multiple work function values and various integrated circuit products containing such transistors.
  • 2. Description of the Related Art
  • The fabrication of advanced integrated circuits, such as CPU's, storage devices, ASIC's (application specific integrated circuits) and the like, requires the formation of a large number of circuit elements in a given chip area according to a specified circuit layout, wherein so-called metal oxide semiconductor field effect transistors (MOSFETs or FETs) represent one important type of circuit element that substantially determines performance of the integrated circuits. The transistors are typically either NMOS (NFET) or PMOS (PFET) type devices wherein the “N” and “P” designation is based upon the type of dopants used to create the source/drain regions of the devices. So-called CMOS (Complementary Metal Oxide Semiconductor) technology or products refers to integrated circuit products that are manufactured using both NMOS and PMOS transistor devices.
  • Field effect transistors, whether an NMOS or a PMOS device, typically include a source region, a drain region, a channel region that is positioned between the source region and the drain region, and a gate electrode positioned above the channel region. Current flow through the FET is controlled by controlling the voltage applied to the gate electrode. For an NMOS device, if there is no voltage (or a logically low voltage) applied to the gate electrode, then there is no current flow through the device (ignoring undesirable leakage currents, which are relatively small). However, when an appropriate positive voltage (or logically high voltage) is applied to the gate electrode, the channel region of the NMOS device becomes conductive, and electrical current is permitted to flow between the source region and the drain region through the conductive channel region. For a PMOS device, the control voltages are reversed. Field effect transistors may come in a variety of different physical shapes, e.g., so-called planar FET devices or so-called 3D or FinFET devices.
  • For many decades, planar FET devices were the dominant choice for making integrated circuit products due to the relatively easier manufacturing methods that are used to form such planar devices as compared to the manufacturing methods involved in forming 3D devices. To improve the operating speed of planar FETs, and to increase the density of planar FETs on an integrated circuit device, device designers have greatly reduced the physical size of planar FETs over the years. More specifically, the channel length of planar FETs has been significantly decreased, which has resulted in improving the switching speed of planar FETs. However, decreasing the channel length of a planar FET also decreases the distance between the source region and the drain region. In some cases, this decrease in the separation between the source and the drain regions makes it difficult to efficiently inhibit the electrical potential of the source region and the channel from being adversely affected by the electrical potential of the drain region. This is sometimes referred to as so-called short channel effects, wherein the characteristic of the planar FET as an active switch is degraded.
  • As noted above, in contrast to a planar FET, a so-called 3D or FinFET device has a three-dimensional (3D) structure. More specifically, in a FinFET, a generally vertically positioned fin-shaped active area is formed in a semiconductor substrate and a gate structure (gate insulation layer plus the gate electrode) is positioned around both of the sides and the upper surface of the fin-shaped active area to form a tri-gate structure so as to use a channel having a three-dimensional structure instead of a planar structure. In some cases, an insulating cap layer, e.g., silicon nitride, is positioned at the top of the fin and the FinFET device only has a dual-gate structure. Unlike a planar FET, in a FinFET device, a channel is formed perpendicular to a surface of the semiconducting substrate so as to reduce the physical size of the semiconductor device. Also, in a FinFET, the junction capacitance at the drain region of the device is greatly reduced, which tends to reduce at least some short channel effects. When an appropriate voltage is applied to the gate electrode of a FinFET device, the surfaces (and the inner portion near the surface) of the fins, i.e., the substantially vertically oriented sidewalls and the top upper surface of the fin, become a conductive channel region thereby allowing current to flow. In a FinFET device, the “channel-width” is approximately two times (2×) the vertical fin-height plus the width of the top surface of the fin, i.e., the fin width. Multiple fins can be formed in the same foot-print as that of a planar transistor device. Accordingly, for a given plot space (or foot-print), FinFETs tend to be able to generate significantly stronger drive currents than planar transistor devices. Additionally, the leakage current of FinFET devices after the device is turned “OFF” is significantly reduced as compared to the leakage current of planar FETs due to the superior gate electrostatic control of the “fin” channel on FinFET devices. In short, the 3D structure of a FinFET device is a superior MOSFET structure as compared to that of a planar FET, especially in the 20 nm CMOS technology node and beyond.
  • For many early device technology generations, the gate structures of most transistor elements has been comprised of a plurality of silicon-based materials, such as a silicon dioxide and/or silicon oxynitride gate insulation layer, in combination with a polysilicon gate electrode. However, as the channel length of aggressively scaled transistor elements has become increasingly smaller, many newer generation devices employ gate structures that contain alternative materials in an effort to avoid the short channel effects which may be associated with the use of traditional silicon-based materials in reduced channel length transistors. For example, in some aggressively scaled transistor elements, which may have channel lengths on the order of approximately 10-32 nm or less, gate structures that include a so-called high-k dielectric gate insulation layer and one or metal layers that function as the gate electrode (HK/MG) have been implemented. Such alternative gate structures have been shown to provide significantly enhanced operational characteristics over the heretofore more traditional silicon dioxide/polysilicon gate structure configurations.
  • Depending on the specific overall device requirements, several different high-k materials—i.e., materials having a dielectric constant, or k-value, of approximately 10 or greater—have been used with varying degrees of success for the gate insulation layer in an HK/MG gate electrode structure. For example, in some transistor element designs, a high-k gate insulation layer may include tantalum oxide (Ta2O5), hafnium oxide (HfO2), zirconium oxide (ZrO2), titanium oxide (TiO2), aluminum oxide (Al2O3), hafnium silicates (HfSiOx) and the like. Furthermore, one or more non-polysilicon metal gate electrode materials—i.e., a metal gate stack—may be used in HK/MG configurations so as to control the work function of the transistor. These metal gate electrode materials may include, for example, one or more layers of titanium (Ti), titanium nitride (TiN), titanium-aluminum (TiAl), titanium-aluminum-carbon (TiALC), aluminum (Al), aluminum nitride (AlN), tantalum (Ta), tantalum nitride (TaN), tantalum carbide (TaC), tantalum carbonitride (TaCN), tantalum silicon nitride (TaSiN), tantalum silicide (TaSi) and the like.
  • In manufacturing modern integrated circuit products, transistor devices are sometimes intentionally formed so as to exhibit different threshold voltage levels. In general, a transistor having a relatively lower threshold voltage will operate at a higher switching speed than that of a corresponding transistor with a relatively higher threshold voltage level. Such “low-Vt” (LVT) transistor devices are typically employed in portions of an integrated circuit product where device performance or speed is desirable or critical, e.g., in the logic circuits of an integrated circuit product. Unfortunately, such low-Vt devices tend to exhibit more off-state leakage currents, which means that they consume more power than would otherwise be desired. Thus, all other things being equal, such low-Vt devices are poor choices in applications where reduced power consumption is important, e.g., mobile computing applications, cell phone applications, etc. The opposite is true for so-called “high-Vt” (HVT) transistor devices—they tend to operate at slower switching speeds (a negative) but the off-state leakage currents of such high-Vt devices is less than that of the low-Vt devices (a positive). Such high-Vt devices are typically employed in portions of an integrated circuit product where device performance or speed is less critical, e.g., SRAM circuits. Device designers can also make so-called “regular-Vt” (RVT) transistor devices that have a threshold voltage level that is intermediate to that of the low-Vt devices and the high-Vt devices. Of course, the absolute value of these threshold voltage levels (low, regular and high) may vary depending upon a variety of factors.
  • Device designers have employed several techniques to intentionally change the threshold voltage levels of transistor devices. One technique simply involves changing the gate length—the distance between the source region and the drain region—of the transistor. All other things being equal, a transistor with a shorter gate length will operate at faster speeds, but it will exhibit higher off-state leakage currents than a corresponding transistor having a larger channel length. Device dimensions have decreased to the point where gate lengths are so small that manufacturing devices with ever smaller gate lengths is very challenging, time-consuming and expensive. Thus, adjusting threshold voltage levels by continuing to reduce the channel length of the transistor devices is becoming more problematic.
  • Another technique that device designers have used to vary the threshold voltage of transistors involved varying the amount of dopant material used in forming the wells in which the transistors were formed. All other things being equal, the greater the dopant concentration in a well region, the greater will be the threshold voltage of the resulting transistor, and vice-versa. However, in some applications, such as forming FinFET devices, it is very challenging to get the appropriate doping levels, due to random dopant fluctuations and the normal Gaussian distribution of ion implantation processes in general.
  • Yet another technique that device designers have used to form transistor devices with differing threshold voltage levels simply involves making gate stacks of different materials having different work function values so as to ultimately achieve the desired variation in the threshold voltage levels of the devices. The term “work function” (WF) is commonly used in the art of semiconductor design and manufacturing to refer to the minimum energy needed to remove an electron from the surface of a metal. The work function of a metal is typically a constant characteristic of that metal material and it is usually measured in electron-volts (eV). In general, in CMOS integration schemes using a silicon substrate, a work function metal having a work function near the conduction band edge of silicon (about 4.0 eV) is necessary for NMOS type devices, while a different work function metal having a work function near the valance band edge of silicon (about 5.1-5.2 eV) is necessary for PMOS devices. Thus, in CMOS integration schemes employing high-k gate dielectric materials, at least two types of gate stacks are needed, i.e., a stack of suitable materials that satisfies the individual work function requirements for the PMOS devices and a different stack of materials that satisfies the individual work function requirements for the NMOS devices. As noted above, the gate stack for the PMOS devices provides a flat band voltage closer to the valence band edge of the material of the channel of the PMOS devices, and the gate stack for the NMOS devices provides a flat band voltage closer to the conduction band edge of the material of the channel of the NMOS devices.
  • By way of example, forming CMOS-based integrated circuit products with dual work function transistor devices might involve depositing a layer of high-k material, e.g., hafnium oxide, that will serve as the gate insulation layer for all of the transistor devices (both PMOS and NMOS) and then depositing a P-work function metal, such as titanium nitride (WF=about 4.9 eV), on the high-k gate insulation layer. Thereafter, the P-work function metal would be patterned so that it is only present on the PMOS devices. Then, an N-work function metal, e.g., an aluminum-based material, such as titanium-aluminum (WF=about 4.3 eV), is deposited above the exposed high-k insulation material (where the NMOS devices will be formed) and above the patterned P-work function metal. After patterning the N-work function metal, the gate stack of the PMOS device is comprised of three layers of material (the high-k gate insulation material, the P-work function metal and the N-work function metal), whereas the gate stack of the NMOS device is comprised of only two layers of material (the high-k gate insulation material and the N-work function metal).
  • The above-mentioned layer stacking process can be expanded to achieve transistor devices having additional work function levels by simply using the appropriate masking, metal deposition and metal etching steps. For example, to produce an integrated circuit product with transistor devices having three different work function values, the regions of the substrate where the third device will be formed could have been etched so as to clear the gate insulation layer of the P- and N-work function metals described above. Thereafter, a third metal layer, such as tungsten nitride (WF=about 4.6—intermediate that of the P- and N-work function metals) could be deposited above the exposed high-k insulation material (where the third device will be formed) and above the patterned P-work function metal and the patterned N-work function metal. After patterning the third metal layer, the gate stack of the PMOS device is comprised of four layers of material (the high-k gate insulation material, the P-work function metal, the N-work function metal and the third metal layer), the gate stack of the NMOS device is comprised of three layers of material (the high-k gate insulation material, the N-work function metal and the third metal layer) and the gate stack of the third device is comprised of only two layers of material (the high-k gate insulation material and the third metal layer). This process can be applied to obtain four different work functions. In addition to the N- and P-work function metal, one can employ sub-N-work function metals (WF=4.45 eV) and sub-P-work function (WF=4.75 eV) metals. The process explained above can be repeated to integrate a third and a fourth device that has a gate structure including such third and fourth metal layers.
  • As is readily apparent, the above-mentioned layer stacking process can become quite unwieldy and complex as more and more version of transistor devices with different threshold voltage levels are fabricated. Among other problems, etching of metals tends to be more difficult and the different physical heights of the gate stacks of the various devices can cause problems during subsequent processing operations. As an example, it may be more difficult to achieve a truly planar surface on a layer of material that is deposited above all of the different height gate stacks even if the layer is subjected to one or more chemical mechanical polishing processes. Such a lack of planarity can lead to problems in accurately patterning the deposited layer of material due to depth-of-focus variations when it comes to exposing a layer of photoresist material positioned above the deposited layer.
  • The present disclosure is directed to novel methods of forming gate structure transistors with multiple work function values and various integrated circuit products containing such transistors that may solve or reduce one or more of the problems identified above.
  • SUMMARY OF THE INVENTION
  • The following presents a simplified summary of the invention in order to provide a basic understanding of some aspects of the invention. This summary is not an exhaustive overview of the invention. It is not intended to identify key or critical elements of the invention or to delineate the scope of the invention. Its sole purpose is to present some concepts in a simplified form as a prelude to the more detailed description that is discussed later.
  • Generally, the present disclosure is directed to novel methods of forming gate structures for transistors with multiple work function values and various integrated circuit products containing such transistors. One illustrative method disclosed herein includes, among other things, performing at least one etching process to remove a sacrificial gate structure for an NMOS transistor and a sacrificial gate structure for a PMOS transistor to thereby define an NMOS gate cavity and a PMOS gate cavity, forming a high-k gate insulation layer in the NMOS gate cavity and in the PMOS gate cavity, forming a lanthanide-based material layer on the high-k gate insulation layer that is positioned within the NMOS and PMOS gate cavities, performing at least one heating process to drive material from the lanthanide-based material layer into the high-k gate insulation layer so as to thereby form a lanthanide-containing high-k gate insulation layer in each of the NMOS and PMOS gate cavities, and performing at least one process operation to form a first gate electrode structure above the lanthanide-containing high-k gate insulation layer in the NMOS gate cavity and a second gate electrode structure above the lanthanide-containing high-k gate insulation layer in the PMOS gate cavity.
  • Another illustrative method disclosed herein includes, among other things, performing at least one etching process to remove a sacrificial gate structure for an NMOS transistor and a sacrificial gate structure for a PMOS transistor to thereby define an NMOS gate cavity and a PMOS gate cavity, forming a high-k gate insulation layer comprised of hafnium oxide in the NMOS gate cavity and in the PMOS gate cavity, forming a layer of lanthanum oxide on the high-k gate insulation layer that is positioned within the NMOS and PMOS gate cavities, performing at least one heating process to drive lanthanum from the layer of lanthanum oxide into the high-k gate insulation layer so as to thereby form a hafnium-lanthanum oxide gate insulation layer in each of the NMOS and PMOS gate cavities, and performing at least one process operation to form a first gate electrode structure on the hafnium-lanthanum oxide gate insulation layer in the NMOS gate cavity and a second gate electrode structure on the hafnium-lanthanum oxide gate insulation layer in the PMOS gate cavity.
  • Yet another illustrative method disclosed herein includes, among other things, performing at least one etching process to remove a sacrificial gate structure for a first NMOS transistor and a sacrificial gate structure for each of a first and a second PMOS transistor to thereby define an NMOS gate cavity and first and second PMOS gate cavities, forming a high-k gate insulation layer in each of the NMOS gate cavity and within the first and second PMOS gate cavities, forming a patterned hard mask layer that covers the high-k gate insulation layer within the first PMOS gate cavity while leaving the high-k gate insulation layer within the NMOS gate cavity and within the second PMOS gate cavity exposed, forming a lanthanide-based material layer on the exposed high-k gate insulation layer in the NMOS gate cavity, on the exposed high-k gate insulation layer in the second PMOS gate cavity and above the patterned hard mask layer, performing at least one heating process to drive material from the lanthanide-based material layer into the high-k gate insulation layer in the NMOS gate cavity and into the high-k gate insulation layer in the second PMOS gate cavity so as to thereby form a first lanthanide-containing high-k gate insulation layer in the NMOS gate cavity and a second lanthanide-containing high-k gate insulation layer in the second PMOS gate cavity, performing at least one etching process to remove the lanthanide-based material layer and the patterned hard mask layer, and performing at least one process operation to form a first gate electrode structure on the first lanthanide-containing high-k gate insulation layer in the NMOS gate cavity, a second gate electrode structure on the second lanthanide-containing gate high-k insulation layer in the second PMOS gate cavity and a third gate electrode structure on the high-k gate insulation layer in the first PMOS gate cavity.
  • One example of a novel integrated circuit product disclosed herein includes, among other things, an NMOS transistor having a gate structure comprised of an NMOS gate insulation layer comprised of lanthanide-containing high-k insulation material and an NMOS work function adjusting metal layer positioned above the NMOS gate insulation layer and a PMOS transistor having a gate structure comprised of a PMOS gate insulation layer comprised of the lanthanide-containing high-k gate insulation material and a PMOS work function adjusting metal layer positioned above the PMOS gate insulation material.
  • Yet another novel device disclosed herein includes, among other things, a first NMOS transistor having a gate structure comprised of a first NMOS gate insulation layer comprised of lanthanide-containing high-k insulation material and a first NMOS work function adjusting metal layer positioned above the first NMOS gate insulation layer. The device also includes a second NMOS transistor having a gate structure comprised of a second NMOS gate insulation layer comprised of a high-k insulation material and a second NMOS work function adjusting metal layer positioned above the second NMOS gate insulation layer, wherein the first and second NMOS work function adjusting metal layers are comprised of the NMOS work function adjusting material. The device further includes a first PMOS transistor having a gate structure comprised of a first PMOS gate insulation layer comprised of the high-k insulation material and a first PMOS work function adjusting metal layer positioned above the first PMOS gate insulation layer. The device also includes a second PMOS transistor having a gate structure comprised of a second PMOS gate insulation layer comprised of the lanthanide-containing high-k insulation material and a second PMOS work function adjusting metal layer positioned above the second PMOS gate insulation layer, wherein the first and second PMOS work function adjusting metal layers are comprised of the same PMOS work function adjusting material.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The disclosure may be understood by reference to the following description taken in conjunction with the accompanying drawings, in which like reference numerals identify like elements, and in which:
  • FIGS. 1A-1W depict various illustrative methods disclosed herein for forming gate structures for CMOS-based integrated circuit products and various novel CMOS-based integrated circuit products.
  • While the subject matter disclosed herein is susceptible to various modifications and alternative forms, specific embodiments thereof have been shown by way of example in the drawings and are herein described in detail. It should be understood, however, that the description herein of specific embodiments is not intended to limit the invention to the particular forms disclosed, but on the contrary, the intention is to cover all modifications, equivalents, and alternatives falling within the spirit and scope of the invention as defined by the appended claims.
  • DETAILED DESCRIPTION
  • Various illustrative embodiments of the invention are described below. In the interest of clarity, not all features of an actual implementation are described in this specification. It will of course be appreciated that in the development of any such actual embodiment, numerous implementation-specific decisions must be made to achieve the developers' specific goals, such as compliance with system-related and business-related constraints, which will vary from one implementation to another. Moreover, it will be appreciated that such a development effort might be complex and time-consuming, but would nevertheless be a routine undertaking for those of ordinary skill in the art having the benefit of this disclosure.
  • The present subject matter will now be described with reference to the attached figures. Various structures, systems and devices are schematically depicted in the drawings for purposes of explanation only and so as to not obscure the present disclosure with details that are well known to those skilled in the art. Nevertheless, the attached drawings are included to describe and explain illustrative examples of the present disclosure. The words and phrases used herein should be understood and interpreted to have a meaning consistent with the understanding of those words and phrases by those skilled in the relevant art. No special definition of a term or phrase, i.e., a definition that is different from the ordinary and customary meaning as understood by those skilled in the art, is intended to be implied by consistent usage of the term or phrase herein. To the extent that a term or phrase is intended to have a special meaning, i.e., a meaning other than that understood by skilled artisans, such a special definition will be expressly set forth in the specification in a definitional manner that directly and unequivocally provides the special definition for the term or phrase.
  • The present disclosure is directed to novel methods of forming gate structures for transistors with multiple work function values and various integrated circuit products containing such transistors. As will be readily apparent to those skilled in the art upon a complete reading of the present application, the methods disclosed herein may be employed in manufacturing a variety of different devices, including, but not limited to, logic devices, memory devices, etc. With reference to the attached figures, various illustrative embodiments of the methods and devices disclosed herein will now be described in more detail.
  • As will be appreciated by those skilled in the art after a complete reading of the present application, the inventions disclosed herein may be employed in forming integrated circuit products using planar transistor devices, as well as so-called 3D devices, such as FiNFETs, or a combination of such devices. For purposes of disclosure, reference will be made to an illustrative process flow wherein an integrated circuit product 100 is formed with a plurality of FinFET transistor devices using CMOS technology. Moreover, the inventions will be disclosed in the context of forming the gate structures using a replacement gate processing technique. However, the methods, structures and products disclosed herein may be employed where the gate structures of the transistors are formed using so-called gate-first processing techniques. Thus, the inventions disclosed herein should not be considered to be limited to the illustrative examples depicted and described herein.
  • FIG. 1A is a simplified, cross-sectional view of an illustrative integrated circuit product 100 at an early stage of manufacturing. A plurality of transistor devices, 10N1, 10N2, 10P1 and 10P2 will be formed above a semiconductor substrate 12 using a so-called replacement gate technique. The substrate 12 may have a variety of configurations, such as the depicted bulk silicon configuration. The substrate 12 may also have a silicon-on-insulator (SOI) configuration that includes a bulk silicon layer, a buried insulation layer and an active layer, wherein semiconductor devices are formed in and above the active layer. The substrate 12 may be made of silicon or it may be made of materials other than silicon. Thus, the terms “substrate” or “semiconductor substrate” should be understood to cover all semiconducting materials and all forms of such materials. The cross-sectional view depicted in the attached figures is taken through the long axis of an illustrative fin 13 that is formed from the substrate 12. Stated another way, the cross-sectional views depicted in the attached drawings are taken through the gate structures of the various transistors in a direction that corresponds to the gate length direction of the transistors. So as not to obscure the inventions disclosed herein, isolation regions that are formed in the substrate 12 to define active regions where the transistor devices, 10N1, 10N2, 10P1 and 10P2 will be formed are not depicted in the attached drawings. Additionally, various doped regions, e.g., source/drain regions, halo implant regions, well regions and the like, are also not depicted in the attached drawings.
  • As noted above, in one illustrative embodiment, four illustrative transistor devices, 10N1, 10N2, 10P1 and 10P2 will be formed above the substrate 12. In this example, each of the transistor devices, 10N1, 10N2, 10P1 and 10P2 will be formed so as to have a different work function value. In the depicted example, the transistor devices 10N1 and 10N2 are NMOS devices, while the transistors 10P1 and 10P2 are PMOS devices. The NMOS transistor device 10N1 will be formed so as to exhibit a lower work function value than the NMOS transistor device 10N2. The PMOS transistor device 10P1 will be formed so as to exhibit a higher work function value than the PMOS transistor device 10P2. Thus, for example, the illustrative NMOS transistor device 10N1 and PMOS transistor device 10P1 may be employed in applications where higher performance, e.g., higher switching speeds, is an important design consideration, e.g. N-logic circuits and P-logic circuits, respectively. In contrast, the illustrative NMOS transistor device 10N2 and PMOS transistor device 10P2 may be employed in applications where reduced power consumption, e.g., lower off-state leakage currents, is an important design consideration, e.g., N-based SRAM circuits and P-based SRAM circuits, respectively. By using the methods and devices disclosed herein, device designers will have greater flexibility in designing integrated circuit products 100 that are more tailored to meet the intended application.
  • With continuing reference to FIG. 1A, the product 100 is depicted at a point in fabrication wherein sacrificial gate structures 14 have been formed above the substrate 12 and any gate cap layers (not shown) that were previously positioned above the sacrificial gate structures 14 have been removed. At this point in the replacement gate process flow, source/drain regions (not shown) would have already been formed in the substrate 12 and an anneal process would have been performed to activate the implanted dopant materials and repair any damage to the substrate 12 due to the various ion implantation processes that were performed. The sacrificial gate structures 14 include a sacrificial gate insulation layer 14A and a dummy or sacrificial gate electrode 14B. Also depicted are illustrative sidewall spacers 16 and a layer of insulating material 18. The various components and structures of the product 100 may be formed using a variety of different materials and by performing a variety of known techniques. For example, the sacrificial gate insulation layer 14A may be comprised of silicon dioxide, the sacrificial gate electrode 14B may be comprised of polysilicon, the sidewall spacers 16 may be comprised of silicon nitride and the layer of insulating material 18 may be comprised of silicon dioxide. The layers of material depicted in FIG. 1A, as well as the layers of material described below, may be formed by any of a variety of different known techniques, e.g., a chemical vapor deposition (CVD) process, an atomic layer deposition (ALD) process, physical vapor deposition (PVD), a thermal growth process, etc.
  • As shown in FIG. 1B, one or more etching processes are performed to remove the sacrificial gate electrodes 14B and the sacrificial gate insulation layers 14A to thereby define a plurality of gate cavities 20A-D where a different replacement gate structure will subsequently be formed for each of the transistor devices, 10N1, 10N2, 10P1 and 10P2, respectively. Typically, the sacrificial gate insulation layers 14B are removed as part of the replacement gate technique, as depicted herein. However, the sacrificial gate insulation layers 14B may not be removed in all applications. Even in cases where the sacrificial gate insulation layer 14B is intentionally removed, there will typically be a very thin native oxide layer (not shown) that forms on the substrate 12 within the gate cavities 20A-D.
  • FIG. 1C depicts the product 100 after several process operations were performed. First, a pre-clean process was performed in an attempt to remove all foreign materials from within the gate cavities 20A-D prior to forming the various layers of material that will become part of the replacement gate structures. Thereafter, a high-k (k value greater than 10) gate insulation layer 22, such as hafnium oxide, having a thickness of approximately 1-3 nm was initially deposited in the gate cavities 20A-D by performing an ALD process.
  • FIG. 1D depicts the product 100 after several process operations were performed so as to ultimately form a patterned sacrificial hard mask 24 above the high-k gate insulation layer 22 in each of the gate cavities 20B and 20D, while leaving the high-k gate insulation layer 22 within the gate cavities 20A and 20C exposed. The sacrificial hard mask 24 may be comprised of a variety of different materials, e.g., polysilicon, a metal, etc. In one illustrative embodiment, the sacrificial hard mask 24 may be comprised of a layer of titanium nitride and it may be formed to any desired thickness. The patterned sacrificial hard mask 24 may be formed by blanket-depositing (via PVD or CVD) a hard mask material layer on the product 100 so as to over-fill all of the gate cavities 20A-D, forming a patterned photoresist etch mask (not shown) above the deposited hard mask material layer, and thereafter performing an etching process to remove the desired portions of the deposited hard mask material layer so as to thereby result in the depicted patterned sacrificial hard mask 24. The high-k gate insulation layer 22 may serve as an etch stop during the patterning of the hard mask material layer. Thereafter, the patterned photoresist etch mask may be removed so as to arrive at the structure depicted in FIG. 1D.
  • FIG. 1E depicts the product after a lanthanide-based material layer 26 has been formed on the exposed portions of the high-k gate insulation layer 22 and on the patterned sacrificial hard mask 24. The lanthanide-based material layer 26 may be in the form of a metal, an oxide, a carbide, a halide or a nitride, its thickness may vary depending upon the particular application, and it may be formed using any desired process, e.g., ALD, PVD, CVD, etc. In one illustrative embodiment, the lanthanide-based material layer 26 is a layer of lanthanum oxide that has a thickness of about 1 nm that was formed by performing an ALD process. As described more fully below, the thickness of the lanthanide-based material layer 26 may be varied to change the magnitude of the band-gap phase shift in the affected transistor devices. In general, use of a relatively thicker lanthanide-based material layer 26 will result in a relatively greater magnitude in the band-gap phase shift of the affected devices. Additionally, a larger thermal budget induces more diffusion from the lanthanide-based material layer 26, which creates a larger shift in the device.
  • With reference to FIG. 1F, an anneal process has been performed to drive-in or intermix some of the lanthanide-based material layer 26 with the portions of the high-k gate insulation layer 22 that it contacts. This process results in forming a plurality of lanthanide-containing high-k insulating material layers 22A, i.e., intermixed layers 22A that are an intermixture or alloy of the high-k insulation material and portions of the lanthanide-based material layer 26. For example, in the case where the high-k gate insulation layer 22 is comprised of hafnium oxide and the lanthanide-based material layer 26 is comprised of lanthanum oxide, the intermixed layers 22A may be comprised of hafnium-lanthanum oxide (HfLaxOy). In the depicted example, the anneal process is performed for such a duration that the entire thickness of the original high-k gate insulation layer 22 is intermixed with the lanthanum-based materials from the lanthanide-based material layer 26. In one example, the drive-in anneal process may be performed at a temperature that falls within the range of about 500-1200° C. for a duration of a few nanoseconds up to about 1-10 seconds. The type of anneal process that is performed may vary depending upon the particular application, e.g., a spike anneal, a laser anneal, an RTA process, etc. In some embodiments, the drive-in anneal process described above may be performed as part of or in addition to a so-called reliability anneal process that is typically performed to increase the reliability of the high-k gate insulation layer 22. Additionally, although not depicted in the attached drawings, in some applications, additional layers of material may be formed above the lanthanide-based material layer 26 prior to performing the drive-in anneal process described above. For example, a layer of titanium nitride (not shown) with a thickness of about 1-2 nm may be formed on the lanthanide-based material layer 26. Thereafter, a layer of polysilicon or amorphous silicon (not shown) may be blanket-deposited on the layer of titanium nitride so as to over-fill the gate cavities 20A and 20C. At that point, the above-described drive-in anneal process may then be performed as part of or in addition to the traditional reliability anneal process that is performed on the high-k gate insulation layer 22.
  • FIG. 1G depicts the product 100 after one or more etching processes have been performed to remove all materials except the remaining portions of the high-k gate insulation layer 22 (in the gate cavities 20B and 20D) and the intermixed layers 22A (in the gate cavities 20A and 20C). For example, in the case where the above-described titanium nitride layer and layer of polysilicon/amorphous silicon materials were formed, the etching sequence might be as follows: a DHF-based etch process followed by an NH4OH-based wet process to remove the surface oxide layer and the underlying polysilicon/amorphous silicon sequentially; an SC1-based etch process to remove the layer of titanium nitride; an SC2-based etch process to remove the lanthanum oxide; and another SC-1 based etch process to remove the patterned sacrificial hard mask 24 (when it is made of titanium nitride).
  • Next, as shown in FIG. 1H, a first metal layer 28 is formed on the high-k gate insulation layer 22 and intermixed layers 22A within the gate cavities 20A-D. The first metal layer 28 is comprised of a metal that will serve as the work function adjusting metal for the PMOS transistors 10P1 and 10P2, i.e., the layer 28 is a P-work function metal. The thickness of the first metal layer 28 may vary depending upon the particular application, and it may be formed using any desired process, e.g., ALD, PVD, etc. In one example, the first metal layer 28 may be a layer of titanium nitride, TaN, WN, TiC, TaC, etc., it may have a thickness of about 2-7 nm, and it may be formed by performing an ALD process or a plasma-enhanced physical vapor deposition (PVD) process.
  • FIG. 1I depicts the product 100 after a patterned masking layer 30 is formed above the product 100. The patterned masking layer 30 covers the PMOS regions, i.e., PMOS transistors 10P1 and 10P2, while leaving the NMOS regions, i.e., NMOS transistors 10N1 and 10N2, exposed for further processing. In one embodiment, the patterned masking layer 30 may be a patterned layer(s) of photoresist material(s) that may be formed using known photolithography tools and techniques.
  • FIG. 1J depicts the product 100 after a dry or wet etching process was performed to remove the exposed portions of first metal layer 28. More specifically, the first metal layer 28 (the P-work function metal) was removed from the gate cavities 20A and 20B of NMOS transistors 10N1 and 10N2, respectively. As a result of this etching process, the remaining portions of the first metal layer 28A are positioned only in the gate cavities 20C and 20D for the PMOS transistors 10P2 and 10P1, respectively.
  • FIG. 1K depicts the product 100 after the patterned mask layer 30 was removed. The mask layer 30 may be removed by performing a variety of known processes, e.g., ashing.
  • Next, as shown in FIG. 1L, an optional second metal layer 32 was formed on the product 100. The second metal layer 32 is comprised of a metal that will serve as a barrier layer to prevent diffusion of the N-work function metal into the underlying gate insulation layers. However, in some cases, the N-work function metal may be deposited directly on the gate insulation layers. In one example, the second metal layer 32 may be a layer of titanium nitride, TaN, TiSiN, TaSiN, WN, or WSiN, it may have a thickness of about 0.5-2 nm, and it may be formed by performing an ALD process.
  • Next, as shown in FIG. 1M, a third metal layer 34 was formed on the second metal layer 32. The third metal layer 34 is comprised of a metal that will function as the work function adjusting metal for the NMOS devices. In one example, the third metal layer 34 may be a layer of titanium aluminum carbon (TiAlC), TiAl, TiAlN, TaAl, TaAlC, HfAlC, HfAl, WSi, TiSi, HfSi or any other N-type work function metal, it may have a thickness of about 1-8 nm, and it may be formed by performing an ALD process. The above-described barrier layer 32 is typically needed when the N-work function metal contains aluminum.
  • Next, as shown in FIG. 1N, a fourth metal layer 36 was formed on the third metal layer 34. The fourth metal layer 36 is comprised of a metal that will function as an adhesion layer for conductive materials like W, Al, Ti, Co and their alloys, and it will also serve as a protection layer to prevent oxidation of the N-work function layer. However, the fourth metal layer 36 may not be required in all applications. For example, if the conductive material that is yet to be formed exhibits good adhesion properties with respect to the N-work function metal, then the fourth metal layer 36 may be omitted in those applications. In one example, the fourth metal layer 36 may be a layer of titanium nitride, TaN, TiSiN, TaSiN, WN or WSiN, it may have a thickness of about 1-6 nm, and it may be formed by performing an ALD or a CVD process.
  • FIG. 1O depicts the product 100 after a sacrificial layer 40 was blanket-deposited on the product 100 so as to over-fill the gate cavities 20A-D. The sacrificial layer 40 may be comprised of a variety of different materials, e.g., OPL, etc., and it may be formed by performing, for example, a spin-coating process. The sacrificial layer 40 may be formed to any desired thickness. If desired, a CMP process may be performed on the sacrificial layer 40.
  • FIG. 1P depicts the product 100 after one or more planarization processes, e.g., CMP processes, were performed to remove the portions of the various layers of material described above that were positioned above the surface 18S of the layer of insulating material 18 and outside of the gate cavities 20A-D.
  • Next, as shown in FIG. 1Q, a dry or wet etching process was performed to recess the sacrificial layer 40 within the gate cavities 20A-D. In one embodiment, the recessing process may be a timed etching process and the remaining portions of the sacrificial material layer 40 positioned within the gate cavities 20A-20D may have a thickness (in the vertical direction) that falls within the range of about 50-90 nm, in the case where the total gate height may be about 100 nm (e.g., from the top of a fin).
  • FIG. 1R depicts the product 100 after one or more timed etching processes, e.g., timed dry or wet etching processes, were performed to remove portions of the layers 22A, 22, 28A, 32, 34 and 36 positioned within the gate cavities 20A-D.
  • FIG. 1S depicts the product after several process operations were performed. First an etching or solvent-based process operation was performed to remove the remaining portions of the sacrificial material layer 40 from within the gate cavities 20A-20D. Then, a conductive material layer 42 was blanket-deposited on the product 100 so as to over-fill the gate cavities 20A-D. The conductive material layer 42 may be comprised of a variety of different conductive materials, e.g., a metal such as W, Al, Co, Ti, Ni, any alloys that include such metals, a metal silicide, highly-doped polysilicon, etc., and it may be formed by performing, for example, a CVD, ALD or PVD process, while perhaps including a reflow step. In some cases, an adhesion or wetting layer may need to be formed prior to forming the conductive material layer due to poor nucleation or poor adhesion on spacer dielectric, e.g., CVD W needs ALD TiN as wetting and adhesion layer. The conductive material layer 42 may be formed to any desired thickness. Next, one or more planarization processes, e.g., CMP processes, were performed to remove the portions of the conductive material layer 42 positioned above the surface 18S of the layer of insulating material 18 and outside of the gate cavities 20A-D.
  • FIG. 1T depicts the product 100 after several process operations were performed. First, a dry or wet etching process was performed to recess the conductive material layer 42 within the gate cavities 20A-D. In one embodiment, the recessing process may be a timed etching process and the remaining portions of the sacrificial material layer 42 positioned within the gate cavities 20A-20D may be recessed so as to have any desired thickness. Next, a gate cap layer 44 was formed in each of the gate cavities 20A-D. The gate cap layers 44 may be comprised of, for example, silicon nitride, and they may be formed by blanket-depositing a layer of gate cap material (not shown) so as to over-fill the gate cavities 20A-D and thereafter performing one or more planarization processes, e.g., CMP processes, to remove the portions of the gate cap material layer positioned above the surface 18S of the layer of insulating material 18 and outside of the gate cavities 20A-D. At this point in the process flow, the final gate structures 50N1, 50N2, 50P1 and 50P2 have been formed for the transistor devices 10N1, 10N2, 10P1 and 10P2, respectively. At the point of fabrication depicted in FIG. 1T, the integrated circuit product 100 may be completed by performing several traditional manufacturing processes, e.g., the formation of contacts to the source/drain regions of the device, the formation of various metallization layers for the product, etc.
  • Using the methods disclosed herein, the transistor devices 10N1, 10N2, 10P1 and 10P2 may be formed with different gate structures and they may be formed so as to have four different work function values. Accordingly, the transistor devices 10N1, 10N2, 10P1 and 10P2 of the product 100 will have different threshold voltage levels. More specifically, in the depicted example, the methods disclosed herein result in a phase shift in band gap voltage of about minus 0.16 eV for the devices 10N1 (4.33 eV) and 10P2 (4.76 eV) that include the lanthanide-containing gate insulating layers 22A as compared to the corresponding devices 10N2 (4.49 eV) and 10P1 (4.92 eV), respectively, that have the traditional high-k gate insulating material 22 as the gate insulation layer. In this particular example, the lanthanide-based material layer 26 was a layer of lanthanum oxide that was formed to a thickness of about 0.1-1 nm. Importantly, and surprisingly, the use of the lanthanide-containing gate insulating layers 22A provides an effective means to control the work function of the PMOS devices as well as the NMOS devices. Providing device designers with techniques to manufacture multiple-Vt devices gives designers increased flexibility as it relates to designing ever more complex and sophisticated integrated circuit products. The table below sets forth some aspects of the novel structure 100 depicted in FIG. 1T.
  • TRAN- WF
    SISTOR GATE STRUCTURE VALUE
    10N1
    22A - Intermixed high-k gate insulation - 1.7 nm; 4.33
    32 - TiN or TiSiN - barrier - 1 nm;
    34 - TiAlC - 5 nm (N-WFM);
    36 - TiN - adhesion - 1 nm; and
    42 - Tungsten
    10N2 22 - Original high-k gate insulation - 1.7 nm; 4.49
    32 - TiN or TiSiN - barrier - 1 nm;
    34 - TiAlC - 5 nm (N-WFM);
    36 - TiN - adhesion - 1 nm; and
    42 - Tungsten
    10P2
    22A - Intermixed high-k gate insulation - 1.7 nm; 4.76
    28A - TiN - P-WFN - 4 nm;
    32 - TiN or TiSiN - barrier - 1 nm;
    34 - TiAlC - 5 nm (N-WFM);
    36 - TiN - adhesion - 1 nm; and
    42 - Tungsten
    10P1 22 - Original high-k gate insulation - 1.7 nm; 4.92
    28A - TiN - P-WFN - 4 nm;
    32 - TiN or TiSiN - barrier - 1 nm;
    34 - TiAlC - 5 nm (N-WFM);
    36 - TiN - adhesion - 1 nm; and
    42 - Tungsten
  • In addition to the four-device example depicted above in FIG. 1T, the methods disclosed herein may be employed to form an integrated circuit product with a lesser number of transistor devices exhibiting differing work function values. More specifically, FIG. 1U depicts an embodiment of another integrated circuit product 101 that includes three illustrative transistor devices 10N1, 10N2 and 10P1 that may be formed with different gate structures so as to have three different work function values and different threshold voltage levels. In the depicted example, the methods disclosed herein result in a phase shift in work function of about minus 0.3 eV for the device 10N1 (4.3 eV) that includes the lanthanide-containing gate insulating layer 22A as compared to the corresponding device 10N2 (4.6 eV) that has a traditional high-k gate insulating material 22 and a midgap work function metal. In this example, the device 10P1 (4.9 eV) is formed with a traditional high-k gate insulation layer 22. Thus, the device 10N2 may be thought of as a “mid-gap” device. It should be noted that the mid-gap device could have equally been made as a PMOS device that employed the lanthanide-containing gate insulating layer 22A disclosed herein, i.e., an opposite case of the above-mentioned embodiment where N-work function metal is used for 10N1, P-work function metal is used for 10N2 and 10P1 where La2O3 layer is incorporated into 10N2 to make the −0.3 eV shift from 4.9 eV. In this particular example, the lanthanide-based material layer 26 was a layer of lanthanum oxide that was formed to a thickness of about 0.1-1 nm (1-10A), which accounts for the relatively higher band-gap voltage (−0.3 eV) shift for this embodiment as compared to the embodiment shown in FIG. 1T. The table below sets forth some aspects of the novel structure 101 depicted in FIG. 1U.
  • TRAN- WF
    SISTOR GATE STRUCTURE VALUE
    10N1
    22A - Intermixed high-k gate insulation - 1.7 nm; 4.3
    32 - TiN or TiSiN - barrier - 1 nm;
    34 - WN or WSi (Midgap) - 5 nm (N-WFM);
    36 - TiN - adhesion - 1 nm; and
    42 - Tungsten
    10N2 22 - Original high-k gate insulation - 1.7 nm; 4.6
    32 - TiN or TiSiN - barrier - 1 nm;
    34 - WN or WSi (Midgap) - 5 nm (N-WFM);
    36 - TiN - adhesion - 1 nm; and
    42 - Tungsten
    10P1 22 - Original high-k gate insulation - 1.7 nm; 4.9
    28A - TiN - P-WFN - 4 nm;
    32 - TiN or TiSiN - barrier - 1 nm;
    34 - TiAlC - 5 nm (N-WFM);
    36 - TiN - adhesion - 1 nm; and
    42 - Tungsten
  • FIG. 1V depicts an embodiment of another integrated circuit product 102 that includes three illustrative transistor devices 10N1, 10P1 and 10P2 that may be formed with different gate structures so as to have three different work function values and different threshold voltage levels. More specifically, in the depicted example, the methods disclosed herein result in a phase shift in work function of about minus 0.3 eV for the device 10P2 (4.6 eV) that includes the lanthanide-containing gate insulating layer 22A as compared to the corresponding device 10P1 (4.9 eV) that is formed with a traditional high-k gate insulation layer 22. In this embodiment, the device 10N1 (4.3 eV) is also formed with the traditional high-k gate insulation layer 22. The table below sets forth some aspects of the novel structure 101 depicted in FIG. 1V.
  • TRAN- WF
    SISTOR GATE STRUCTURE VALUE
    10N1 22 - Original high-k gate insulation - 1.7 nm; 4.3
    32 - TiN or TiSiN - barrier - 1 nm;
    34 - TiAlC - 5 nm (N-WFM);
    36 - TiN - adhesion - 1 nm; and
    42 - Tungsten
    10P2
    22A - Intermixed high-k gate insulation - 1.7 nm; 4.6
    28A - TiN - P-WFN - 4 nm;
    32 - TiN or TiSiN - barrier - 1 nm;
    34 - TiAlC - 5 nm (N-WFM);
    36 - TiN - adhesion - 1 nm; and
    42 - Tungsten
    10P1 22 - Original high-k gate insulation - 1.7 nm; 4.9
    28A - TiN - P-WFN - 4 nm;
    32 - TiN or TiSiN - barrier - 1 nm;
    34 - TiAlC - 5 nm (N-WFM);
    36 - TiN - adhesion - 1 nm; and
    42 - Tungsten
  • FIG. 1W depicts an embodiment of another integrated circuit product 103 that includes two illustrative transistor devices 10N 1 and 10P1 that may be formed with different gate structures so as to have two different work function values and different threshold voltage levels. More specifically, in the depicted example, the methods disclosed herein result in a phase shift in band gap voltage of about minus 0.6 eV for the device 10N1 (4.3 eV) that include the lanthanide-containing gate insulating layer 22A as compared to the device 10P1 (4.9 eV) that is formed with a traditional high-k gate insulation layer 22. In this particular example, the lanthanide-based material layer 26 was a layer of lanthanum oxide that was formed to a thickness of about 0.5-2 nm, which accounts for the relatively higher band-gap voltage shift for this embodiment (−0.6 eV) as compared to the other embodiments shown in FIGS. 1S-1T above. The table below sets forth some aspects of the novel structure 103 depicted in FIG. 1W.
  • TRAN- WF
    SISTOR GATE STRUCTURE VALUE
    10N1
    22A - Intermixed high-k gate insulation - 1.7 nm; 4.3
    32 - TiN or TiSiN - barrier - 1 nm;
    34 - TiAlC - 5 nm (N-WFM);
    36 - TiN - adhesion - 1 nm; and
    42 - Tungsten
    10P1 22 - Original high-k gate insulation - 1.7 nm; 4.9
    28A - TiN - P-WFN - 4 nm;
    32 - TiN or TiSiN - barrier - 1 nm;
    34 - TiAlC - 5 nm (N-WFM);
    36 - TiN - adhesion - 1 nm; and
    42 - Tungsten
  • Importantly, the methodologies disclosed herein are equally compatible with forming replacement gate structures for NMOS and PMOS devices, as shown above. Thus, the methods disclosed here have significant value as it relates to forming integrated circuit products using CMOS technology. Other benefits will be apparent to those skilled in the art after a complete reading of the present application. At the point of fabrication depicted in FIGS. 1T-1W, the integrated circuit products 100-103 may be completed by performing several traditional manufacturing processes, e.g., the formation of contacts to the source/drain regions of the device, the formation of various metallization layers for the product, etc.
  • The particular embodiments disclosed above are illustrative only, as the invention may be modified and practiced in different but equivalent manners apparent to those skilled in the art having the benefit of the teachings herein. For example, the process steps set forth above may be performed in a different order. Furthermore, no limitations are intended to the details of construction or design herein shown, other than as described in the claims below. It is therefore evident that the particular embodiments disclosed above may be altered or modified and all such variations are considered within the scope and spirit of the invention. Note that the use of terms, such as “first,” “second,” “third” or “fourth” to describe various processes or structures in this specification and in the attached claims is only used as a shorthand reference to such steps/structures and does not necessarily imply that such steps/structures are performed/formed in that ordered sequence. Of course, depending upon the exact claim language, an ordered sequence of such processes may or may not be required. Accordingly, the protection sought herein is as set forth in the claims below.

Claims (19)

1. A method of forming replacement gate structures for an NMOS transistor and a PMOS transistor, comprising:
performing at least one etching process to remove a sacrificial gate structure for said NMOS transistor and a sacrificial gate structure for said PMOS transistor to thereby define an NMOS gate cavity and a PMOS gate cavity;
depositing a high-k gate insulation layer in said NMOS gate cavity and in said PMOS gate cavity;
prior to performing any heating processes on said as-deposited high-k gate insulation layer in either of said NMOS or PMOS gate cavities, performing a deposition process to deposit a lanthanide-based material layer on said as-deposited high-k gate insulation layer that is positioned within said NMOS and PMOS gate cavities, wherein said as-deposited lanthanide-based material layer comprises lanthanum;
after depositing said lanthanide-based material layer, performing at least one heating process to drive material from said as-deposited lanthanide-based material layer into said as-deposited high-k gate insulation layer so as to thereby form a lanthanide-containing high-k gate insulation layer in each of said NMOS and PMOS gate cavities; and
performing at least one process operation to form a first gate electrode structure above said lanthanide-containing high-k gate insulation layer in said NMOS gate cavity and a second gate electrode structure above said lanthanide-containing high-k gate insulation layer in said PMOS gate cavity.
2. The method of claim 1, wherein, said lanthanide-based material layer is comprised of one of a metal, an oxide, a halide, a carbide or a nitride.
3. The method of claim 1, wherein performing said at least one heating process comprises performing said at least one heating process at a temperatures that falls within the range of 500-1200° C. for a duration of 3 seconds or less.
4. The method of claim 1, wherein said lanthanide-based material layer is a layer of lanthanum oxide and said high-k gate insulation layer is a layer of hafnium oxide.
5. The method of claim 1, wherein said lanthanide-containing high-k gate insulation layer is a layer of hafnium-lanthanum oxide (HfLaxOy).
6. A method of forming replacement gate structures for an NMOS transistor and a PMOS transistor, comprising:
performing at least one etching process to remove a sacrificial gate structure for said NMOS transistor and a sacrificial gate structure for said PMOS transistor to thereby define an NMOS gate cavity and a PMOS gate cavity;
depositing a high-k gate insulation layer comprised of hafnium oxide in said NMOS gate cavity and in said PMOS gate cavity;
prior to performing any heating processes on said as-deposited high-k gate insulation layer in either of said NMOS or PMOS gate cavities, performing a deposition process to deposit a layer of lanthanum oxide on said as-deposited high-k gate insulation layer that is positioned within said NMOS and PMOS gate cavities;
performing at least one heating process to drive lanthanum from said layer of as-deposited lanthanum oxide into said as-deposited high-k gate insulation layer so as to thereby form a hafnium-lanthanum oxide gate insulation layer in each of said NMOS and PMOS gate cavities; and
performing at least one process operation to form a first gate electrode structure on said hafnium-lanthanum oxide gate insulation layer in said NMOS gate cavity and a second gate electrode structure on said hafnium-lanthanum oxide gate insulation layer in said PMOS gate cavity.
7. The method of claim 6, wherein performing said at least one heating process comprises performing said at least one heating process at a temperatures that falls within the range of 500-1200° C. for a duration of 3 seconds or less.
8. A method of forming replacement gate structures for an NMOS transistor device and first and second PMOS transistor devices, comprising:
performing at least one etching process to remove a sacrificial gate structure for said first NMOS transistor and a sacrificial gate structure for each of said first and second PMOS transistors to thereby define an NMOS gate cavity and first and second PMOS gate cavities;
forming a high-k gate insulation layer in each of said NMOS gate cavity and within said first and second PMOS gate cavities;
forming a patterned hard mask layer that covers said high-k gate insulation layer within said first PMOS gate cavity while leaving said high-k gate insulation layer within said NMOS gate cavity and within said second PMOS gate cavity exposed;
forming a lanthanide-based material layer on said exposed high-k gate insulation layer in said NMOS gate cavity, on said exposed high-k gate insulation layer in said second PMOS gate cavity and above said patterned hard mask layer;
performing at least one heating process to drive material from said lanthanide-based material layer into said high-k gate insulation layer in said NMOS gate cavity and into said high-k gate insulation layer in said second PMOS gate cavity so as to thereby form a first lanthanide-containing high-k gate insulation layer in said NMOS gate cavity and a second lanthanide-containing high-k gate insulation layer in said second PMOS gate cavity;
performing at least one etching process to remove said lanthanide-based material layer and said patterned hard mask layer; and
performing at least one process operation to form:
a first gate electrode structure on said first lanthanide-containing high-k gate insulation layer in said NMOS gate cavity;
a second gate electrode structure on said second lanthanide-containing gate high-k insulation layer in said second PMOS gate cavity; and
a third gate electrode structure on said high-k gate insulation layer in said first PMOS gate cavity.
9. The method of claim 8, wherein, said lanthanide-based material layer is comprised of one of a metal, an oxide, a halide, a carbide or a nitride.
10. The method of claim 8, wherein performing said at least one heating process comprises performing said at least one heating process at a temperatures that falls within the range of 500-1200° C. for a duration of 3 seconds or less.
11. The method of claim 8, wherein said lanthanide-based material layer is a layer of lanthanum oxide and said high-k gate insulation layer is a layer of hafnium oxide.
12. The method of claim 11, wherein said lanthanide-containing high-k gate insulation layer is a layer of hafnium-lanthanum oxide (HfLaxOy).
13. A method of forming replacement gate structures for a plurality of NMOS transistors and a plurality of PMOS transistors, the method comprising:
performing at least one etching process to remove a sacrificial gate structure for each of said pluralities of NMOS and PMOS transistors to thereby define respective pluralities of NMOS and PMOS gate cavities;
forming a high-k gate insulation layer in each of said pluralities of NMOS and PMOS gate cavities;
forming a lanthanide-based material layer on said high-k gate insulation layer that is positioned within a first NMOS gate cavity of said plurality of NMOS gate cavities and within a first PMOS gate cavity of said plurality of said PMOS gate cavities while covering a second NMOS gate cavity of said plurality of NMOS gate cavities and a second PMOS gate cavity of said plurality of PMOS gate cavities;
performing at least one heating process to drive material from said lanthanide-based material layer into said high-k gate insulation layer formed in said first NMOS and PMOS gate cavities so as to thereby form a lanthanide-containing high-k gate insulation layer in each of said first NMOS and PMOS gate cavities; and
performing at least one process operation to form a first gate electrode structure above said lanthanide-containing high-k gate insulation layer in said first NMOS gate cavity and above said high-k gate insulation layer in said second NMOS gate cavity, and to form a second gate electrode structure above said lanthanide-containing high-k gate insulation layer in said first PMOS gate cavity and above said high-k gate insulation layer in said second PMOS gate cavity.
14. The method of claim 13, wherein, said lanthanide-based material layer is comprised of one of a metal, an oxide, a halide, a carbide or a nitride.
15. The method of claim 13, wherein performing said at least one heating process comprises performing said at least one heating process at a temperatures that falls within the range of 500-1200° C. for a duration of 3 seconds or less.
16. The method of claim 13, wherein said lanthanide-based material layer is a layer of lanthanum oxide and said high-k gate insulation layer is a layer of hafnium oxide.
17. The method of claim 13, wherein said lanthanide-containing high-k gate insulation layer is a layer of hafnium-lanthanum oxide (HfLaxOy).
18. The method of claim 13, wherein covering said second NMOS and PMOS gate cavities comprises forming a sacrificial hard mask layer above said high-k gate insulation material layer in at least said second NMOS and PMOS gate cavities and forming said lanthanide-based material layer above said sacrificial hard mask layers formed in said second NMOS and PMOS gate cavities.
19. The method of claim 18, wherein forming said first and second gate electrode structures above said high-k gate insulation material layer in said respective NMOS and PMOS gate cavities comprises removing said lanthanide-based material layer from above each of said sacrificial hard mask layers and removing said sacrificial hard mask layers from said second NMOS and PMOS gate cavities.
US14/069,782 2013-11-01 2013-11-01 Methods of forming gate structures with multiple work functions and the resulting products Active US9012319B1 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
US14/069,782 US9012319B1 (en) 2013-11-01 2013-11-01 Methods of forming gate structures with multiple work functions and the resulting products
SG10201404645QA SG10201404645QA (en) 2013-11-01 2014-08-05 Methods of forming gate structures with multiple work functions and the resulting products
TW103128750A TWI536544B (en) 2013-11-01 2014-08-21 Methods of forming gate structures with multiple work functions and the resulting products
KR1020140145486A KR20150051147A (en) 2013-11-01 2014-10-24 Methods of forming gate structures with multiple work functions and the resulting products
CN201410602674.9A CN104616994A (en) 2013-11-01 2014-10-31 Methods of forming gate structures with multiple work functions and the resulting products
DE102014222289.3A DE102014222289B4 (en) 2013-11-01 2014-10-31 Method for forming gate structures with multiple work function functions

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/069,782 US9012319B1 (en) 2013-11-01 2013-11-01 Methods of forming gate structures with multiple work functions and the resulting products

Publications (2)

Publication Number Publication Date
US9012319B1 US9012319B1 (en) 2015-04-21
US20150126023A1 true US20150126023A1 (en) 2015-05-07

Family

ID=52822525

Family Applications (1)

Application Number Title Priority Date Filing Date
US14/069,782 Active US9012319B1 (en) 2013-11-01 2013-11-01 Methods of forming gate structures with multiple work functions and the resulting products

Country Status (6)

Country Link
US (1) US9012319B1 (en)
KR (1) KR20150051147A (en)
CN (1) CN104616994A (en)
DE (1) DE102014222289B4 (en)
SG (1) SG10201404645QA (en)
TW (1) TWI536544B (en)

Cited By (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150214112A1 (en) * 2014-01-30 2015-07-30 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor device and method for forming the same
US20150263004A1 (en) * 2014-03-12 2015-09-17 Samsung Electronics Co., Ltd. Semiconductor device having mid-gap work function metal gate electrode
US20160315080A1 (en) * 2015-04-23 2016-10-27 Samsung Electronics Co .. Ltd. Integrated circuit devices having a fin-type active region and methods of manufacturing the same
KR20160143020A (en) * 2015-06-04 2016-12-14 삼성전자주식회사 Semiconductor device
US20170040179A1 (en) * 2015-08-03 2017-02-09 United Microelectronics Corp. Method for fabricating semiconductor device
US9583400B1 (en) * 2016-01-15 2017-02-28 International Business Machines Corporation Gate stack with tunable work function
US9583485B2 (en) 2015-05-15 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor (FinFET) device structure with uneven gate structure and method for forming the same
WO2017122105A1 (en) * 2016-01-15 2017-07-20 International Business Machines Corporation Semiconductor device having a gate stack with tunable work function
US9773707B2 (en) * 2015-06-23 2017-09-26 Institute of Microelectronics, Chinese Academy of Sciences Method for manufacturing semiconductor device
US9859169B2 (en) * 2016-01-15 2018-01-02 International Business Machines Corporation Field effect transistor stack with tunable work function
US20180012811A1 (en) * 2016-07-07 2018-01-11 Semiconductor Manufacturing International (Shanghai)Corporation Semiconductor device and fabrication method thereof
CN107887428A (en) * 2016-09-30 2018-04-06 台湾积体电路制造股份有限公司 Semiconductor devices and its manufacture method
US20180151562A1 (en) * 2016-11-30 2018-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Temperature compensation circuits
US9997518B2 (en) * 2016-01-12 2018-06-12 International Business Machines Corporation Low resistive electrode for an extendable high-k metal gate stack
US20180166385A1 (en) * 2016-11-15 2018-06-14 Globalfoundries Inc. Interconnect structure and method of forming the same
US20180261677A1 (en) * 2017-03-07 2018-09-13 Samsung Electronics Co., Ltd. Semiconductor Device and Method for Fabricating the Same
US10079182B2 (en) * 2016-01-15 2018-09-18 International Business Machines Corporation Field effect transistor gate stack
KR20190117655A (en) * 2017-03-17 2019-10-16 가부시키가이샤 리코 Field-effect transistors, manufacturing methods thereof, display elements, display devices and systems
US20200058790A1 (en) * 2018-08-14 2020-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices having gate structure with bent sidewalls
US20200105602A1 (en) * 2018-09-27 2020-04-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device and Method of Manufacture
US20210057280A1 (en) * 2019-08-23 2021-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US20210119058A1 (en) * 2018-07-05 2021-04-22 Samsung Electronics Co., Ltd. Semiconductor device
US11380793B2 (en) * 2019-07-31 2022-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device having hybrid work function layer stack
US20220310451A1 (en) * 2020-01-28 2022-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Adjusting Work Function Through Adjusting Deposition Temperature
US20230377879A1 (en) * 2022-05-18 2023-11-23 Applied Materials, Inc. Barrier layer for preventing aluminum diffusion

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150118836A1 (en) * 2013-10-28 2015-04-30 United Microelectronics Corp. Method of fabricating semiconductor device
US9337297B2 (en) * 2013-12-31 2016-05-10 Texas Instruments Incorporated Fringe capacitance reduction for replacement gate CMOS
US9583362B2 (en) * 2014-01-17 2017-02-28 Taiwan Semiconductor Manufacturing Company Ltd. Metal gate structure and manufacturing method thereof
US9735231B2 (en) * 2014-03-31 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Block layer in the metal gate of MOS devices
CN105097461B (en) * 2014-04-21 2018-03-30 中芯国际集成电路制造(北京)有限公司 A kind of manufacture method of semiconductor devices
US9190488B1 (en) * 2014-08-13 2015-11-17 Globalfoundries Inc. Methods of forming gate structure of semiconductor devices and the resulting devices
US10164049B2 (en) * 2014-10-06 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of semiconductor device with gate stack
US10134861B2 (en) 2014-10-08 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US9466494B2 (en) * 2014-11-18 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Selective growth for high-aspect ration metal fill
KR102263765B1 (en) * 2015-04-08 2021-06-09 에스케이하이닉스 주식회사 semiconductor element, method of fabricating the semiconductor, and semiconductor device including the same
US9818841B2 (en) 2015-05-15 2017-11-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with unleveled gate structure and method for forming the same
KR20160148795A (en) * 2015-06-16 2016-12-27 삼성전자주식회사 Semiconductor device and method for manufacturing the same
US10861701B2 (en) * 2015-06-29 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10090396B2 (en) 2015-07-20 2018-10-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating metal gate devices and resulting structures
CN106409830B (en) * 2015-07-27 2020-05-05 联华电子股份有限公司 Semiconductor element with metal grid and manufacturing method thereof
CN106601606B (en) * 2015-10-19 2019-09-20 中芯国际集成电路制造(上海)有限公司 NMOS device, semiconductor device and its manufacturing method
US9853123B2 (en) * 2015-10-28 2017-12-26 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US9583486B1 (en) * 2015-11-19 2017-02-28 International Business Machines Corporation Stable work function for narrow-pitch devices
US9490255B1 (en) * 2015-12-01 2016-11-08 International Business Machines Corporation Complementary metal oxide semiconductor replacement gate high-k metal gate devices with work function adjustments
KR102474431B1 (en) 2015-12-08 2022-12-06 삼성전자주식회사 Method of manufacturing semiconductor devices
US9865703B2 (en) * 2015-12-31 2018-01-09 International Business Machines Corporation High-K layer chamfering to prevent oxygen ingress in replacement metal gate (RMG) process
CN106952874B (en) * 2016-01-06 2019-11-01 中芯国际集成电路制造(上海)有限公司 The forming method of multi-Vt fin transistor
US10431583B2 (en) 2016-02-11 2019-10-01 Samsung Electronics Co., Ltd. Semiconductor device including transistors with adjusted threshold voltages
US9899264B2 (en) 2016-06-30 2018-02-20 International Business Machines Corporation Integrated metal gate CMOS devices
US9640540B1 (en) * 2016-07-19 2017-05-02 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for an SRAM circuit
KR102553260B1 (en) 2016-08-03 2023-07-07 삼성전자 주식회사 Integrated circuit device and method of manufacturing the same
US9947594B2 (en) * 2016-09-19 2018-04-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
CN108074815B (en) * 2016-11-17 2021-04-02 中芯国际集成电路制造(上海)有限公司 Semiconductor structure and forming method thereof
CN108122844B (en) * 2016-11-30 2020-06-09 中芯国际集成电路制造(上海)有限公司 Method for forming semiconductor structure
KR20180091245A (en) 2017-02-06 2018-08-16 삼성전자주식회사 Semiconductor device and Method for fabricating the same
US10546785B2 (en) * 2017-03-09 2020-01-28 International Business Machines Corporation Method to recess cobalt for gate metal application
CN108666271B (en) * 2017-03-29 2020-10-09 中芯国际集成电路制造(上海)有限公司 Semiconductor device and method of forming the same
US10002791B1 (en) 2017-04-06 2018-06-19 International Business Machines Corporation Multi-layer work function metal gates with similar gate thickness to achieve multi-Vt for vFETS
US11114347B2 (en) * 2017-06-30 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Self-protective layer formed on high-k dielectric layers with different materials
US10720516B2 (en) * 2017-06-30 2020-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Gate stack structure and method for forming the same
KR102341721B1 (en) 2017-09-08 2021-12-23 삼성전자주식회사 Semiconductor device
KR102271008B1 (en) 2017-10-27 2021-06-29 삼성전자주식회사 Semiconductor device
US11282933B2 (en) * 2017-11-30 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET having a work function material gradient
CN109994472B (en) * 2018-01-03 2021-12-28 联华电子股份有限公司 Semiconductor device and method for fabricating the same
US10388577B1 (en) 2018-03-28 2019-08-20 International Business Machines Corporation Nanosheet devices with different types of work function metals
CN110649091B (en) * 2018-06-27 2024-02-27 中芯国际集成电路制造(上海)有限公司 Semiconductor device, manufacturing method thereof and electronic device
KR102574322B1 (en) 2018-06-27 2023-09-05 삼성전자주식회사 Semiconductor devices
US10991425B2 (en) * 2018-08-13 2021-04-27 Micron Technology, Inc. Access line grain modulation in a memory device
US11189662B2 (en) 2018-08-13 2021-11-30 Micron Technology Memory cell stack and via formation for a memory device
US10573723B1 (en) * 2018-08-23 2020-02-25 International Business Machines Corporation Vertical transport FETs with asymmetric channel profiles using dipole layers
US11094598B2 (en) 2019-07-11 2021-08-17 Globalfoundries U.S. Inc. Multiple threshold voltage devices
US11664279B2 (en) 2020-02-19 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple threshold voltage implementation through lanthanum incorporation
US11430950B2 (en) 2020-03-27 2022-08-30 Micron Technology, Inc. Low resistance via contacts in a memory device
US11475942B2 (en) * 2020-06-18 2022-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. SRAM structures
US20220093757A1 (en) * 2020-09-22 2022-03-24 Taiwan Semiconductor Manufacturing Co., Ltd. Middle-of-line interconnect structure and manufacturing method
US11594610B2 (en) * 2020-10-15 2023-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7858459B2 (en) * 2007-04-20 2010-12-28 Texas Instruments Incorporated Work function adjustment with the implant of lanthanides
US20120261761A1 (en) * 2009-12-29 2012-10-18 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device and method of manufacturing the same
US20140183653A1 (en) * 2012-12-31 2014-07-03 Texas Instruments Incorporated High-k metal gate

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7332433B2 (en) 2005-09-22 2008-02-19 Sematech Inc. Methods of modulating the work functions of film layers
US7799669B2 (en) * 2007-04-27 2010-09-21 Texas Instruments Incorporated Method of forming a high-k gate dielectric layer
US20090039441A1 (en) 2007-08-10 2009-02-12 Hongfa Luna Mosfet with metal gate electrode
US20090108294A1 (en) 2007-10-30 2009-04-30 International Business Machines Corporation Scalable high-k dielectric gate stack
US8236686B2 (en) 2008-05-30 2012-08-07 International Business Machines Corporation Dual metal gates using one metal to alter work function of another metal
US8592296B2 (en) 2010-06-16 2013-11-26 International Business Machines Corporation Gate-last fabrication of quarter-gap MGHK FET
US8716118B2 (en) * 2012-01-06 2014-05-06 International Business Machines Corporation Replacement gate structure for transistor with a high-K gate stack

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7858459B2 (en) * 2007-04-20 2010-12-28 Texas Instruments Incorporated Work function adjustment with the implant of lanthanides
US20120261761A1 (en) * 2009-12-29 2012-10-18 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device and method of manufacturing the same
US20140183653A1 (en) * 2012-12-31 2014-07-03 Texas Instruments Incorporated High-k metal gate

Cited By (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150214112A1 (en) * 2014-01-30 2015-07-30 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor device and method for forming the same
US9337107B2 (en) * 2014-01-30 2016-05-10 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor device and method for forming the same
US20150263004A1 (en) * 2014-03-12 2015-09-17 Samsung Electronics Co., Ltd. Semiconductor device having mid-gap work function metal gate electrode
US9461132B2 (en) * 2014-03-12 2016-10-04 Samsung Electronics Co., Ltd. Semiconductor device having mid-gap work function metal gate electrode
US9806075B2 (en) * 2015-04-23 2017-10-31 Samsung Electronics Co., Ltd. Integrated circuit devices having a Fin-type active region and methods of manufacturing the same
US10593670B2 (en) 2015-04-23 2020-03-17 Samsung Electronics Co., Ltd. Methods of manufacturing integrated circuit devices having a fin-type active region
US20160315080A1 (en) * 2015-04-23 2016-10-27 Samsung Electronics Co .. Ltd. Integrated circuit devices having a fin-type active region and methods of manufacturing the same
US9583485B2 (en) 2015-05-15 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field effect transistor (FinFET) device structure with uneven gate structure and method for forming the same
US10468407B2 (en) 2015-05-15 2019-11-05 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with uneven gate structure
US11139295B2 (en) 2015-05-22 2021-10-05 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device and method
KR20160143020A (en) * 2015-06-04 2016-12-14 삼성전자주식회사 Semiconductor device
KR102290685B1 (en) 2015-06-04 2021-08-17 삼성전자주식회사 Semiconductor device
US9773707B2 (en) * 2015-06-23 2017-09-26 Institute of Microelectronics, Chinese Academy of Sciences Method for manufacturing semiconductor device
US20170040179A1 (en) * 2015-08-03 2017-02-09 United Microelectronics Corp. Method for fabricating semiconductor device
US9941161B2 (en) * 2015-08-03 2018-04-10 United Microelectronics Corp. Method for fabricating semiconductor device
US9997518B2 (en) * 2016-01-12 2018-06-12 International Business Machines Corporation Low resistive electrode for an extendable high-k metal gate stack
GB2562948A (en) * 2016-01-15 2018-11-28 Ibm Semiconductor device having a gate stack with tunable work function
US9583400B1 (en) * 2016-01-15 2017-02-28 International Business Machines Corporation Gate stack with tunable work function
US20180047640A1 (en) * 2016-01-15 2018-02-15 International Business Machines Corporation Field effect transistor stack with tunable work function
GB2562948B (en) * 2016-01-15 2019-06-19 Ibm Semiconductor device having a gate stack with tunable work function
US9859169B2 (en) * 2016-01-15 2018-01-02 International Business Machines Corporation Field effect transistor stack with tunable work function
US10079182B2 (en) * 2016-01-15 2018-09-18 International Business Machines Corporation Field effect transistor gate stack
US9799656B2 (en) 2016-01-15 2017-10-24 International Business Machines Corporation Semiconductor device having a gate stack with tunable work function
US10312157B2 (en) * 2016-01-15 2019-06-04 International Business Machines Corporation Field effect transistor stack with tunable work function
WO2017122105A1 (en) * 2016-01-15 2017-07-20 International Business Machines Corporation Semiconductor device having a gate stack with tunable work function
US10431501B2 (en) * 2016-07-07 2019-10-01 Semiconductor Manufacturing International (Shanghai) Corporation Semiconductor device with high-K gate dielectric layer and fabrication method thereof
US20180012811A1 (en) * 2016-07-07 2018-01-11 Semiconductor Manufacturing International (Shanghai)Corporation Semiconductor device and fabrication method thereof
US10157998B2 (en) * 2016-09-30 2018-12-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
KR20180036543A (en) * 2016-09-30 2018-04-09 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Semiconductor device and manufacturing method thereof
CN107887428A (en) * 2016-09-30 2018-04-06 台湾积体电路制造股份有限公司 Semiconductor devices and its manufacture method
KR102030241B1 (en) * 2016-09-30 2019-10-10 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Semiconductor device and manufacturing method thereof
US20180166385A1 (en) * 2016-11-15 2018-06-14 Globalfoundries Inc. Interconnect structure and method of forming the same
US10490501B2 (en) * 2016-11-15 2019-11-26 Globalfoundries Inc. Method to form interconnect structure with tungsten fill
US10163899B2 (en) * 2016-11-30 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Temperature compensation circuits
US20190131299A1 (en) * 2016-11-30 2019-05-02 Taiwan Semiconductor Manufacturing Co., Ltd. Temperature Compensation Circuits
US11244944B2 (en) * 2016-11-30 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Temperature compensation circuits
US20180151562A1 (en) * 2016-11-30 2018-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Temperature compensation circuits
US20180261677A1 (en) * 2017-03-07 2018-09-13 Samsung Electronics Co., Ltd. Semiconductor Device and Method for Fabricating the Same
US11315961B2 (en) 2017-03-17 2022-04-26 Ricoh Company, Ltd. Field-effect transistor, method for producing same, display element, display device, and system
KR102363115B1 (en) * 2017-03-17 2022-02-15 가부시키가이샤 리코 Field effect transistor, manufacturing method thereof, display element, display device and system
KR20190117655A (en) * 2017-03-17 2019-10-16 가부시키가이샤 리코 Field-effect transistors, manufacturing methods thereof, display elements, display devices and systems
US20210119058A1 (en) * 2018-07-05 2021-04-22 Samsung Electronics Co., Ltd. Semiconductor device
US20200058790A1 (en) * 2018-08-14 2020-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices having gate structure with bent sidewalls
US10879393B2 (en) * 2018-08-14 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices having gate structure with bent sidewalls
US10867864B2 (en) * 2018-09-27 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
CN110957270A (en) * 2018-09-27 2020-04-03 台湾积体电路制造股份有限公司 Semiconductor device and method of manufacture
US20200105602A1 (en) * 2018-09-27 2020-04-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device and Method of Manufacture
US11380793B2 (en) * 2019-07-31 2022-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device having hybrid work function layer stack
US20220328683A1 (en) * 2019-07-31 2022-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field-effect transistor device having hybrid work function layer stack
US20240021729A1 (en) * 2019-07-31 2024-01-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field-effect transistor device having hybrid work function layer stack
US11056395B2 (en) * 2019-08-23 2021-07-06 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor metal gate and method of manufacture
US20210057280A1 (en) * 2019-08-23 2021-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US20220310451A1 (en) * 2020-01-28 2022-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Adjusting Work Function Through Adjusting Deposition Temperature
US20230377879A1 (en) * 2022-05-18 2023-11-23 Applied Materials, Inc. Barrier layer for preventing aluminum diffusion

Also Published As

Publication number Publication date
DE102014222289A1 (en) 2015-05-07
DE102014222289B4 (en) 2021-01-21
TW201519410A (en) 2015-05-16
TWI536544B (en) 2016-06-01
SG10201404645QA (en) 2015-06-29
CN104616994A (en) 2015-05-13
US9012319B1 (en) 2015-04-21
KR20150051147A (en) 2015-05-11

Similar Documents

Publication Publication Date Title
US9012319B1 (en) Methods of forming gate structures with multiple work functions and the resulting products
US9953978B2 (en) Replacement gate structures for transistor devices
US9362283B2 (en) Gate structures for transistor devices for CMOS applications and products
US9236479B2 (en) Methods of forming replacement gate structures and fins on FinFET devices and the resulting devices
US9318388B2 (en) Methods of forming substantially self-aligned isolation regions on FinFET semiconductor devices and the resulting devices
US8772101B2 (en) Methods of forming replacement gate structures on semiconductor devices and the resulting device
US9178036B1 (en) Methods of forming transistor devices with different threshold voltages and the resulting products
US9263580B2 (en) Methods of forming isolated channel regions for a FinFET semiconductor device and the resulting device
US20160293706A1 (en) Finfet semiconductor devices with stressed channel regions
US9064890B1 (en) Methods of forming isolation material on FinFET semiconductor devices and the resulting devices
US9142651B1 (en) Methods of forming a FinFET semiconductor device so as to reduce punch-through leakage currents and the resulting device
US9024388B2 (en) Methods of forming gate structures for CMOS based integrated circuit products and the resulting devices
US8877625B1 (en) Methods of forming semiconductor devices with different insulation thickness on the same semiconductor substrate and the resulting devices
US20160049399A1 (en) Gate structures for semiconductor devices with a conductive etch stop layer
US9627245B2 (en) Methods of forming alternative channel materials on a non-planar semiconductor device and the resulting device
US9236258B2 (en) Methods of forming gate structures for semiconductor devices using a replacement gate technique and the resulting devices
US20150348970A1 (en) Gate structures for cmos based integrated circuit products
US9412740B2 (en) Integrated circuit product with a gate height registration structure
US20160013291A1 (en) Methods of forming isolated channel regions for a finfet semiconductor device and the resulting device
US20140151818A1 (en) Semiconductor device with a silicon dioxide gate insulation layer implanted with a rare earth element and methods of making such a device

Legal Events

Date Code Title Description
AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHOI, KISIK;KIM, HOON;SIGNING DATES FROM 20130826 TO 20131023;REEL/FRAME:031529/0373

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4

AS Assignment

Owner name: WILMINGTON TRUST, NATIONAL ASSOCIATION, DELAWARE

Free format text: SECURITY AGREEMENT;ASSIGNOR:GLOBALFOUNDRIES INC.;REEL/FRAME:049490/0001

Effective date: 20181127

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:GLOBALFOUNDRIES INC.;REEL/FRAME:054633/0001

Effective date: 20201022

AS Assignment

Owner name: GLOBALFOUNDRIES INC., CAYMAN ISLANDS

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:054636/0001

Effective date: 20201117

AS Assignment

Owner name: GLOBALFOUNDRIES U.S. INC., NEW YORK

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:WILMINGTON TRUST, NATIONAL ASSOCIATION;REEL/FRAME:056987/0001

Effective date: 20201117

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 8TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1552); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 8