CN110832943B - Random access method and equipment - Google Patents

Random access method and equipment Download PDF

Info

Publication number
CN110832943B
CN110832943B CN201880029381.2A CN201880029381A CN110832943B CN 110832943 B CN110832943 B CN 110832943B CN 201880029381 A CN201880029381 A CN 201880029381A CN 110832943 B CN110832943 B CN 110832943B
Authority
CN
China
Prior art keywords
prach
preamble
prach preamble
base sequence
unique
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201880029381.2A
Other languages
Chinese (zh)
Other versions
CN110832943A (en
Inventor
欧麦尔·萨利姆
布鲁诺·杰裘克斯
赛巴斯钦·华格纳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
JRD Communication Shenzhen Ltd
Original Assignee
JRD Communication Shenzhen Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by JRD Communication Shenzhen Ltd filed Critical JRD Communication Shenzhen Ltd
Publication of CN110832943A publication Critical patent/CN110832943A/en
Application granted granted Critical
Publication of CN110832943B publication Critical patent/CN110832943B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04WWIRELESS COMMUNICATION NETWORKS
    • H04W74/00Wireless channel access
    • H04W74/08Non-scheduled access, e.g. ALOHA
    • H04W74/0833Random access procedures, e.g. with 4-step access
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L5/00Arrangements affording multiple use of the transmission path
    • H04L5/0001Arrangements for dividing the transmission path
    • H04L5/0003Two-dimensional division
    • H04L5/0005Time-frequency
    • H04L5/0007Time-frequency the frequencies being orthogonal, e.g. OFDM(A), DMT
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L5/00Arrangements affording multiple use of the transmission path
    • H04L5/003Arrangements for allocating sub-channels of the transmission path
    • H04L5/0053Allocation of signaling, i.e. of overhead other than pilot signals
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04WWIRELESS COMMUNICATION NETWORKS
    • H04W56/00Synchronisation arrangements
    • H04W56/0055Synchronisation arrangements determining timing error of reception due to propagation delay
    • H04W56/0065Synchronisation arrangements determining timing error of reception due to propagation delay using measurement of signal travel time
    • H04W56/007Open loop measurement
    • H04W56/0075Open loop measurement based on arrival time vs. expected arrival time
    • H04W56/0085Open loop measurement based on arrival time vs. expected arrival time detecting a given structure in the signal
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04WWIRELESS COMMUNICATION NETWORKS
    • H04W74/00Wireless channel access
    • H04W74/002Transmission of channel access control information
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L5/00Arrangements affording multiple use of the transmission path
    • H04L5/0091Signaling for the administration of the divided path

Landscapes

  • Engineering & Computer Science (AREA)
  • Signal Processing (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Mobile Radio Communication Systems (AREA)

Abstract

Methods and apparatus for random access are provided. The UE generates a Physical Random Access Channel (PRACH) preamble comprising at least one Orthogonal Frequency Division Multiplexing (OFDM) symbol, wherein each OFDM symbol comprises a base sequence, wherein the base sequence is from a base sequence set comprising one or more base sequences of the same length; and the UE transmits the PRACH preamble. The BS detects the PRACH preamble in m Fast Fourier Transform (FFT) windows, where m is an integer and m times the length of the Cyclic Prefix (CP) is not less than the length of one OFDM symbol.

Description

Random access method and equipment
Technical Field
The disclosed embodiments relate generally to random-access (RA).
Background
A Random Access (RA) procedure is initiated by a User Equipment (UE) to establish a communication link between the UE and a base station (gNB 1100).
The fifth generation (5G) New Radio (NR) is named by the third generation partnership project (3 GPP) and defines the global 5G telecommunication standard for the specification of New 5G wireless air interfaces. 3G and 4G communication standards, such as the current Long Term Evolution (LTE)/advanced long term evolution (LTE advanced) standard, are intended to enable contact between people. In contrast, 5G/NR will connect all devices and provide a unified connection structure for the next decade or even longer. 5G/NR brings a complete set of technologies such as enhanced mobile broadband, mass machine class communication, and very reliable low latency communication (URLLC). URLLC is defined as one of the key target schemes to be supported by 5G/NR, which should provide low latency communication and have high reliability (e.g. requirement for one packet transmission by URLLC reliability is 1-10 error rate of X bytes (e.g. 20 bytes) transmitted with a user plane delay of 1 ms) -5 ). Accordingly, concepts of the current LTE/advanced LTE standard, such as Physical Uplink Control Channel (PUCCH) for Licensed Assisted Access (LAA) and enhanced LAA, require further improvements to provide low latency and high reliability communications to further improve link performance.
Heterogeneous services in 5G
One of the main objectives of the 5G standard is to support a number of different services, each with very different requirements. These services include enhanced mobile broadband (E-MBB) for high speed data transmission, very reliable low latency communication (URLLC) for devices requiring low latency and high link reliability, and mass machine type communication (M-MTC) supporting a large number of low power devices under extensive coverage.
Considering these different services, the 5G standard should provide sufficient resilience to efficiently support these requirements simultaneously.
The examples described herein relate to Physical Random Access Channels (PRACH) to provide sufficient resilience to support these heterogeneous services.
In the 5G standardization process, the following protocols have been achieved in terms of RA channel (RACH):
for single/multi-beam operation:
for multiple/repeated RACH preamble (preamble) transmissions, consider only option 1, option 2 and option 4, see fig. 2a.
O option 1: a Cyclic Prefix (CP) is inserted at the beginning of consecutive multiple/repeated RACH OFDM symbols, CP/GT between RACH symbols is omitted, and GT is reserved at the end of consecutive multiple/repeated RACH symbols.
O option 2/4: the same/different RACH sequence as the CP is used and the GT is reserved at the end of the consecutive multiple/repeated RACH sequences.
To support various coverage and forward compatibility, the length of CP/GT and the flexibility in the number of repeated RACH preambles and RACH symbols are supported.
Remarks o: the specific use of these three options may depend on RACH subcarrier spacing and TRP beam correspondence.
Remarks o: option 2 uses the same sequence in all coincidences combined with one orthogonal cover code, while option 4 may use the same or a different sequence in all symbols.
Basic structure of PRACH preamble
The PRACH preamble may also be referred to as RACH preamble, RA preamble or preamble. The 3GPP has agreed to use at least this basic PRACH preamble structure, namely "option 1" shown in fig. 2a, but not excluding other options. In this structure, each OFDM symbol transmits the same PRACH sequence S, which has the advantage of reducing the complexity of the receiver and allowing timing estimation (timing estimation) of up to one OFDM symbol length.
Option 1 is based on repeating the same PRACH sequence (or PRACH OFDM symbol) with no Cyclic Prefix (CP) between the repetitions, which makes one PRACH OFDM symbol act as the cyclic prefix for the next PRACH OFDM symbol. Option 2/4 inserts a CP in each OFDM symbol. In option 2, all OFDM symbols modulated with orthogonal cover codes have the same sequence, while in option 4 the repetitions have the same or different sequences S0-S12. More detailed information can be found in 3GPP R1-1702127.
In all options, the sequence is made up of a number of OFDM symbols, and the PRACH format determines the number of OFDM symbols.
Different PRACH formats in option 1
The PRACH format may also be referred to as RA preamble format, preamble format or format. The random access preamble format includes one or more random access preambles, the random access preamble including a preamble sequence, and the preamble sequence including one or more PRACH OFDM symbols. The preamble sequence may also be referred to as a PRACH preamble sequence, PRACH sequence, or sequence.
For option 1, a set of PRACH formats 0-5 have been proposed. PRACH formats 0-5 have PRACH preambles of different lengths so that they can be used for different coverage scenarios or for different beamforming techniques, see fig. 2b. Each format includes a PRACH burst (burst) including one or more PRACH OFDM symbols and a Guard Time (GT). The length of the PRACH burst ensures that the sequence is robust enough (robust) that the gNB 1100 can detect, while GT ensures that the maximum delay can be tolerated. One slot is used as a time unit on the horizontal axis, with 14 PUSCH OFDM symbols in each slot, but this number may be different.
Assuming a subcarrier spacing of 15kHz, format 0 of GT with 1/4OFDM symbols may support coverage distances up to 2.5 km, while format 5 of GT with 3 OFDM symbols may support cell radii up to 30 km. The new format may define a larger GT if a larger distance needs to be supported.
Although fig. 2b shows multiple formats for option 1, multiple formats may be prepared for other PRACH options (e.g., option 2, option 4) by varying the subcarrier, CP length, number of OFDM symbols, etc., following the same principle.
Disclosure of Invention
This summary is provided to introduce a selection of concepts in a simplified form that are further described below in the detailed description. This summary is not intended to identify key features or essential features of the claimed subject matter, nor is it intended to be used as an aid in determining the scope of the claimed subject matter.
According to a first aspect, a method performed by a user equipment for performing random access to a base station in a telecommunication network is provided. The user equipment generates a Physical Random Access Channel (PRACH) preamble comprising at least one Orthogonal Frequency Division Multiplexing (OFDM) symbol, wherein each OFDM symbol comprises a base sequence, wherein the base sequence is from a base sequence set comprising one or more base sequences of the same length; the user equipment transmits the PRACH preamble.
According to another aspect of the invention, a user equipment device is provided comprising a processor, a storage unit and a communication interface, wherein the processor unit, the storage unit and the communication interface are configured to perform the described method.
According to another aspect of the present invention, there is provided a method performed by a base station for detecting random access from a user equipment in a telecommunication network. The base station receives a Physical Random Access Channel (PRACH) preamble. The PRACH preamble includes at least one Orthogonal Frequency Division Multiplexing (OFDM) symbol, wherein each OFDM symbol includes a base sequence, wherein the base sequence is from a base sequence set including one or more base sequences of the same length.
According to another aspect of the present invention, there is provided a method performed by a base station for detecting random access from a user equipment in a telecommunication network. The base station detects a PRACH preamble in m Fast Fourier Transform (FFT) reception windows, where m is an integer and m times the length of a Cyclic Prefix (CP) is not less than the length of one OFDM symbol. Optionally, the start point of the ith FFT window is the start point of the (i-1) th FFT window plus a time period that is less than or equal to the length of the CP, where i is an integer and 1.ltoreq.i.ltoreq.m.
According to another aspect of the present invention there is provided a base station apparatus comprising a processor, a memory unit and a communication interface, wherein the processor unit, the memory unit and the communication interface are configured to perform the described method.
The methods described herein may be performed by software in machine readable form on a tangible storage medium or computer readable medium, for example in the form of a computer program comprising computer program code adapted to perform all the steps of any of the methods described herein when the program is run on a computing device, BS or UE, and wherein the computer program may be embodied on a computer readable medium. Examples of tangible (or non-transitory) storage media include memory disks, thumb disks, memory cards, and the like, and do not include propagated signals. The software may be adapted to be executed on a parallel processor or a serial processor such that the method steps may be performed in any suitable order or simultaneously. For example, in another aspect of the invention, a computer-readable medium is provided that includes a computer program, program code or instructions stored thereon that, when executed on a processor, cause the processor to perform the methods described herein.
In another aspect of the invention, a computer readable medium is provided comprising a computer program, program code or instructions stored therein which, when executed on a processor, cause the processor to perform the method described or herein.
This expresses that firmware and software can be valuable, separately tradable commodities. This is intended to include software running or controlled on "dumb" or standard hardware to perform the desired functions. It is also intended to cover software that "describes" or defines a hardware configuration, such as hardware description language (hardware description language, HDL) software, for designing silicon chips or configuring a general purpose programmable chip to perform desired functions.
In another aspect of the present invention, a telecommunications system is provided comprising at least one UE as described herein and/or at least one BS device as described herein.
The preferred features may be combined as appropriate and in combination with any aspect of the invention, as will be apparent to those skilled in the art.
Drawings
Further details, aspects and embodiments of the invention are described below, by way of example only, with reference to the accompanying drawings. For simplicity and clarity, elements in the figures have been shown and are not necessarily drawn to scale. The same reference numerals are included in the various figures to facilitate understanding.
Fig. 1 shows a schematic diagram of a telecommunications network according to some embodiments.
Fig. 2a shows a basic structure of a PRACH preamble according to some embodiments.
Fig. 2b shows a proposed PRACH format according to some embodiments.
Fig. 3 illustrates some example PRACH preambles in a PRACH preamble set according to some embodiments.
Fig. 4 shows a BS that receives PRACH using beam scanning, according to some embodiments.
Figure 5 shows the problem of TA ambiguity addressed by some embodiments herein.
Fig. 6 shows a simplified flow diagram of an exemplary method performed by a UE, according to some embodiments.
Fig. 7a-7b show simplified block diagrams of exemplary UE devices, according to some embodiments.
Fig. 8 shows CP length and PRACH sequence length according to some embodiments.
Fig. 9 shows a BS placing multiple FFT receive windows shifted in CP units according to some embodiments.
Fig. 10 shows a simplified flow chart of an exemplary method performed by a BS according to some embodiments.
Fig. 11a-11b show simplified block diagrams of exemplary BS devices according to some embodiments.
Detailed Description
Those skilled in the art will recognize and appreciate that the specific details of the examples described are merely illustrative of some embodiments and that the teachings set forth herein are applicable in a variety of alternative configurations.
This innovative solution proposes a novel PRACH sequence based on multiple base sequences. The PRACH sequence consists of a plurality of OFDM symbols. In each PRACH OFDM symbol, it may use a different base sequence. These base sequences may be transmitted in the frequency domain (using well known CP-OFDM techniques) or in the time domain (using well known SC-FDMA techniques). Cyclic shifts may be applied to these base sequences in the time domain or in the frequency domain to further increase the number of PRACH preambles and thus improve PRACH capabilities. The PRACH preamble inserts a Cyclic Prefix (CP) for each sub-sequence. According to some embodiments, multiple PRACH preambles may be acquired from multiple base sequences to derive a particular level of identifiability (uniqueness) with a certain number of OFDM symbols. This feature enables the PRACH preamble (and UL synchronization) to be successfully detected even when the base station receives only a small portion of the PRACH preamble. According to some embodiments, a reception method is further proposed, in which the PRACH can be successfully detected even if the reception timing (timing) delay (delay spread plus round trip time) of the uplink is much larger than the length of the CP.
The base sequence may also be referred to as a subsequence, in particular when the PRACH preamble is referred to as a PRACH preamble sequence.
In some embodiments, the ZC sequence is used as only one example of a base sequence, and the skilled person will understand that the base sequence is not limited to ZC sequences and that the same scheme is also applicable to all sub-sequences.
These embodiments may have the following effects:
sequence design with enhanced PRACH capability: the new sequence greatly improves the PRACH capability.
Sequence design without beam scanning time ambiguity: according to some embodiments, the PRACH preamble is designed for a certain level of identifiability or uniqueness, and the beam scanning time ambiguity problem caused by option 1 (repeating the same sequence in each symbol) is completely resolved.
Sequence design with improved PRACH delay: the PRACH sequence may be formed by a combination of multiple ZC sequences such that they are identifiable even if only a certain number (but not all) of PRACH OFDM symbols are received in the PRACH preamble. This may be used to reduce PRACH delay.
-processing of uplink PRACH delay greater than CP length:
successful PRACH detection: even if the received PRACH has a delay (delay spread + round trip time) greater than the CP length, the gNB can still successfully detect the PRACH preamble using the proposed reception method.
The format of the o preamble is unified: with this solution, the gNB can detect PRACH from a UE located at a greater distance than the CP can digest, and the preamble format of the medium to large cell may be the same in CP.
PRACH preamble with energy saving benefit: since certain embodiments herein allow for successful detection of PRACH preambles with cyclic prefixes shorter than round trip time, the CP wastes less energy, making the RACH preamble more energy efficient.
Reference is now made to fig. 1, which schematically illustrates an example of a portion of a telecommunications system that operates in accordance with at least some embodiments herein. The telecommunication system comprises a Base Station (BS) 100 and a User Equipment (UE) 700. The telecommunication network may include a plurality of BSs 1100 and a plurality of UEs.
In general, when the UE 700 wants to access or access a radio access network, the UE 700 may establish a reliable communication link between the UE 700 and the BS 1100 by initiating a random-access (RA) procedure. In some cases, the BS may use DL resources to instruct the UE to initiate a UL RA procedure.
Examples of telecommunications that may be used in certain embodiments of the described devices, methods and systems may be, but are not limited to, at least one of a mobile network, a global system for mobile communications (GSM), a GPRS network, a wideband code division multiple access (W-CDMA), a CDMA2000 or Long Term Evolution (LTE)/LTE-advanced network, or any second, third, fourth or fifth generation and later type of communication network, etc., or a combination thereof, based on wireless, cellular or satellite technology.
The UE 700 may be an automotive part with wireless communication capability, a wearable device with wireless communication capability, a mobile terminal or wireless terminal, a mobile phone, a computer such as a notebook computer, a personal digital assistant PDA or tablet (sometimes also referred to as a netbook, with wireless capability), any other radio network unit installed in a vehicle, capable of communicating over a radio link in a wireless communication network. Note that the term "UE 700" as used herein also encompasses other wireless devices, such as device-to-device (D2D) devices and machine-to-machine (machine to machine, M2M) devices, even if they do not have any users. Wearable devices are also referred to as wearable communication devices or wearable electronic portable devices. The term "wearable device" refers to an electronic technology or computer incorporated into clothing and accessories that can be worn on the body of a user to collect data. Typically, wearable devices have some form of communication capability and allow access by servers and wearers to collect data.
A Base Station (BS) 1100 operates in a radio access network (Radio Access Network, RAN) and serves one cell. Base station 1100 may be, for example, a radio base station (Radio Base Station, RBS), which may sometimes be referred to as, for example, "gNB", "eNB", "eNodeB", "NodeB", "B node", gnnodeb or transceiver base station (Base Transceiver Station, BTS), depending on the technology and terminology used. Base stations can be divided into different categories, e.g. macro eNodeB, home eNodeB or Pico base station based on transmission power, and thus also cell size.
An example of an embodiment of a method of performing random access to a base station BS by a UE in a communication network will now be described with reference to fig. 6. The dashed box presents alternative actions. The method may include the following acts, which may be performed in any suitable order.
Act 610
The UE 700 may receive an indication of the PRACH configuration from the BS, and the UE 700 may transmit the PRACH preamble according to the PRACH configuration.
The indication of PRACH configuration, i.e., PRACH parameters, may include at least one of: PRACH preamble format, one or more cyclic shifts, time-frequency resources for the UE to transmit the PRACH preamble, and a set of (explicit or implicit) base sequences. The indication of the set of base sequences may include at least one of: the length of the base sequence, the size of the set of base sequences, and each base sequence in the set of base sequences. More detailed information is referred to in act 1010.
The base sequence in the base sequence set may be in the form of a rootsequence index that is used by the UE to generate the base sequence, e.g., a Zaddoff Chu sequence.
The 3GPP will define a mapping in which each base sequence indicator (e.g., a simple integer) may represent a set of roots or root sequences corresponding thereto. After receiving the indicator, all UEs in the cell know which set of root sequences (or base sequences) the base station has selected for PRACH transmission.
Act 620
The UE 700 generates a PRACH (i.e., physical random access channel) preamble that includes at least one OFDM (i.e., orthogonal frequency division multiplexing) symbol. Each OFDM symbol includes a base sequence and an optional cyclic prefix CP. The base sequence is from a set of base sequences comprising one or more base sequences of equal length.
All base sequences in the set have the same length. The base sequence may be a Zadoff-Chu (ZC) sequence, an M sequence, a pseudo-noise (PN) sequence, or any other sequence having desirable auto-and cross-correlation properties.
The base sequence in each OFDM symbol may be the same or different.
According to an embodiment, each base sequence in the PRACH preamble is unique. In other words, each base sequence in the PRACH preamble set including all possible PRACH preambles may be generated from a base set (base set) allowed by the cell the BS is serving, which is unique. It is unique within the cell served by the BS, i.e. the cell in which the UE is located. But need not be unique in all cells of the telecommunication network. That is, UEs in two different cells may select the same base sequence.
According to another embodiment, every n consecutive base sequences in the PRACH preamble is unique, where n is an integer and n is ≡2. In other words, every n consecutive base sequences in the PRACH preamble set is unique. It is unique within the cell served by the BS, i.e. the cell in which the UE is located. It may also be unique when considering all cells in the telecommunication network, but this is not necessary. The value of n is any integer that can uniquely distinguish the PRACH preamble over only n OFDM symbols (e.g., 1 or 2, etc.).
According to another embodiment, each base sequence in the set of base sequences is unique in all cells of the telecommunication network. In other words, one base sequence is contained only in one base sequence set and is not repeated in any other base sequence set in other cells of the telecommunication network. Devices in the core network may decide such a unique set for all cells served by the BS and then inform their BSs, respectively.
Act 630
This action is optional. According to an embodiment, the UE 700 performs cyclic shifting of PRACH preambles in the time domain or the frequency domain according to a PRACH configuration. When act 630 is performed, the cyclically shifted PRACH preamble is sent in act 640.
Performing cyclic shifting of the PRACH preamble means performing the same cyclic shifting for all sub-sequences in the PRACH preamble. Performing cyclic shifts of the PRACH preamble includes one or more cyclic shifts to generate one or more cyclic shifted PRACH preambles. As part of the PRACH configuration, an indication of the cyclic shift may be received from the BS. The cyclic shifted PRACH preamble performs as well as the PRACH preamble properties before cyclic shifting is performed. By performing a cyclic shift, the set of PRACH preambles that users in the cell are allowed to use will become large.
Act 640
The UE transmits a PRACH preamble. Transmitting the PRACH preamble may be referred to as transmitting (640) a PRACH, PRACH signal, or preamble.
The base sequence constituting the data part of the OFDM symbol may be transmitted in the time domain, for example in the manner of single carrier FDMA (SC-FDMA), or in the frequency domain, for example in the manner of CP-OFDM. More specifically, there are two possible ways to make the base sequence the data portion of an OFDM symbol. One way is to perform the base sequence transmission in the frequency domain like the conventional CP-OFDM scheme. The base sequence is placed on subcarriers of a frequency allocated to PRACH, which may be allocated by the BS. Then, the UE performs IFFT on the base sequence placed on this frequency to obtain a data portion of the OFDM symbol. Another way is to perform base sequence transmission in the time domain, like the SC-FDMA way: the UE first performs an FFT to convert the base sequence from the time domain to the frequency domain. These frequency domain coefficients (i.e., the FFT of the base sequence) would then be mapped onto a time-frequency grid corresponding to PRACH frequency resources, which may be allocated by the BS. Then, the UE performs IFFT on the FFT base sequence placed on this frequency to obtain a data portion of the OFDM symbol. In both cases, a portion of the data portion of the OFDM symbol serves as a Cyclic Prefix (CP).
According to some embodiments, the sum of the delay spread and the round trip delay of the UE is greater than the CP length of the PRACH symbol. This solution has the advantage of obtaining UL synchronization even in case the sum of delay spread and round trip delay is larger than one OFDM symbol (used in the case of large cells). This solution has the advantage of obtaining UL synchronization even in the case of a gcb side beam scanning. In addition, as a technical advantage, fast UL synchronization can be obtained also in case of low-delay UEs (e.g. URLLC). According to some embodiments, fast UL synchronization may be achieved from a single PRACH symbol.
Fig. 7a shows various components of an exemplary computing-based device 700 that may be implemented to include the functionality of transmitting PRACH, as described in the UE 700 described with reference to fig. 1, by way of example only.
The computing-based device 700 includes one or more processors 702, which may be microprocessors, controllers, or any other suitable type of processor, for processing computer-executable instructions to control the operation of the device in order to perform metrology, receive metrology reports, schedule, and/or allocate communication resources, as described in the programs and methods described herein.
In some examples, for example in the case of an architecture using a system-on-a-chip, the processor 702 may include one or more fixed function blocks (also referred to as accelerators) that implement the methods and/or programs described herein in hardware (rather than software or firmware).
Platform software and/or computer-executable instructions, including an operating system 704A or any other suitable platform software, may be provided on the computing-based device to enable application software to be executed on the device. Depending on the functionality and capabilities of the computing device 700 and the application of the computing device, software and/or computer-executable instructions may include functionality to perform measurements, receive measurement reports, determine communication resources, and/or functionality of the UE 700 implemented in accordance with embodiments described herein.
The software and/or computer-executable instructions may be provided using any computer-readable medium accessible by the computing-based device 700. Computer-readable media may include, for example, computer storage media such as memory 704 and communication media. Computer storage media, such as memory 704, includes volatile and nonvolatile, removable and non-removable media implemented in any method or technology. The data storage 704A of the memory 704 is configured to store information such as computer-readable instructions, data structures, program modules, or other data S.
Computer storage media may include, but is not limited to, RAM, ROM, EPROM, EEPROM, flash memory or other storage technology, CD-ROM, digital Versatile Disks (DVD) or other optical storage, magnetic cassettes, magnetic tape, magnetic disk storage or other magnetic storage devices, or any other non-transmission medium which can be used to store information for access by a computing device. Rather, the communications media may embody computer-readable instructions, data structures, program modules, or other data in a modulated data signal such as a carrier wave or other transport mechanism. As defined herein, computer storage media does not include communication media. Although a computer storage medium (memory 704) is shown in computing-based device 700, it should be appreciated that the memory may be distributed or located remotely and accessible via a network or other communication link (e.g., using communication interface 706).
Alternatively or in accordance with need, the computing-based device 700 may also include an input/output controller 710 arranged to output display information to a display device 712, which may be separate from or integral with the computing-based device 700. The display information may provide a graphical user interface. Input/output controller 710 is also arranged to receive and process input from one or more devices, such as user input device 714 (e.g., a mouse or keyboard). This user input may be used to set a schedule of measurement reports, or to allocate communication resources, or to set which communication resources are of the first type and/or the second type, etc. In an embodiment, the display device 712 may also function as a user input device 714 if it is a touch sensitive display device. Input/output controller 710 may also output data to devices other than a display device, such as other computing devices via communication interface 706, any other communication interface, or a locally connected printing device/computing device, etc.
Fig. 7b shows an aspect of the UE700 described with reference to fig. 1 according to another embodiment. The UE700 includes a receiving module 781, a generating module 782, a cyclic shift module 783, and a transmitting module 784, which are configured to perform acts 610-640, respectively.
The method will now be described from the perspective of BS 1100. An exemplary embodiment of a method performed by BS 1100 in a telecommunications network for detecting random access from a user equipment UE will now be described with reference to the flow chart shown in fig. 10. The method includes acts that may be performed in any suitable order. Optional actions are presented in dashed boxes.
Act 1010
BS 1100 may send an indication of PRACH configuration to UE700, e.g., as part of downlink system information.
The indication of this PRACH configuration may include at least one of: PRACH preamble format, PRACH time-frequency resources, one or more cyclic shifts allowed by the BS, and a set of (explicit or implicit) base sequences. The indication of the set of base sequences may include at least one of: the length of the base sequence, the size of the set of base sequences, and each base sequence in the set of base sequences. The PRACH preamble is prepared from a basic set based on a preamble format and randomly selected from all possible preambles allowed in the cell according to the PRACH configuration. Since all parameters related to PRACH configuration may be broadcast in the downlink as part of the system information, the UE may decode this information when it is attached to the network. For various use cases, such as initial access, re-establishment of connection, handover, and downlink/uplink data arrival or positioning purposes, the UE may initiate RACH procedure by transmitting PRACH preamble according to PRACH configuration.
Act 1020
The BS 1100 receives a PRACH (i.e., physical random access channel) preamble.
The PRACH preamble may comprise at least one OFDM (i.e., orthogonal frequency division multiplexing) symbol, wherein each OFDM symbol comprises a base sequence and optionally a cyclic prefix CP, wherein the base sequence is from a set of base sequences comprising one or more base sequences of the same length.
Act 1030
BS 1100 may detect PRACH preamble in m Fast Fourier Transform (FFT) windows, where m is an integer and m times the CP length is not less than the length of one OFDM symbol.
Note that act 1030 is not limited to any particular PRACH preamble format.
The FFT window may also be referred to as a receive window, FFT receive window, or simply window. According to some embodiments, the start of the ith FFT window is the start of the (i-1) th FFT window plus a time period that is less than or equal to the length of the CP, where i is an integer and 1.ltoreq.i.ltoreq.m.
In general, the origin of the CP of the received PRACH may fall within the FFT window. The FFT window containing the starting point of the CP of the received PRACH preamble will provide the best correlation result.
When CP is included, the length of one OFDM symbol includes the length of the data (sub-sequence) portion plus the cyclic prefix.
According to some embodiments, CP x m may be no less than the length of the OFDM symbol length. In other words, cp×m may be at least equal to the length of the OFDM symbol length, examples are given below.
Example 1:
cp=0.25 ms, data portion (D) =0.75 ms: ofdm_symbol_length=0.25+0.75=1 ms
Assuming m=3, 3×cp=0.75 < ofdm. m=3 is inoperable.
Assuming m=4, then 4×cp=1=ofdm, so m=4 can operate.
Example 2:
cp=0.3 ms, data portion (D) =0.7 ms: ofdm_symbol_length=0.3+0.7=1 ms
Assuming m=3, then 3×cp=0.9 < ofdm, so m=3 cannot operate.
Assuming m=4, then 4×cp=1.2 > ofdm, so m=4 can operate.
Fig. 11a shows various components of an exemplary computing-based device 1100 that may be implemented to include the functionality of transmitting PRACH, as described in the UE 1100 described with reference to fig. 1, by way of example only.
The computing-based device 1100 includes one or more processors 1102, which may be microprocessors, controllers, or any other suitable type of processor, for processing computer-executable instructions to control the operation of the device in order to perform the measurement, receive measurement reports, schedule, and/or allocate communication resources as described in the programs and methods described herein.
In some examples, for example in the case of an architecture using a system on a single chip, the processor 1102 may include one or more fixed function blocks (also referred to as accelerators) that implement the methods and/or programs described herein in hardware (rather than software or firmware).
Platform software and/or computer-executable instructions, including an operating system 1104A or any other suitable platform software, may be provided on the computing-based device to enable application software to be executed on the device. Depending on the functionality and capabilities of the computing device 1100 and the application of the computing device, software and/or computer-executable instructions may include functionality to perform measurements, receive measurement reports, determine communication resources, and/or BS 1100 implemented in accordance with embodiments described herein.
The software and/or computer executable instructions may be provided using any computer readable medium accessible by the computing-based device 1100. Computer-readable media may include, for example, computer storage media such as memory 1104 and communication media. Computer storage media, such as memory 1104, includes volatile and nonvolatile, removable and non-removable media implemented in any method or technology. The data storage 1104A of the memory 1104 is configured to store information such as computer readable instructions, data structures, program modules, or other data S.
Computer storage media may include, but is not limited to, RAM, ROM, EPROM, EEPROM, flash memory or other storage technology, CD-ROM, digital Versatile Disks (DVD) or other optical storage, magnetic cassettes, magnetic tape, magnetic disk storage or other magnetic storage devices, or any other non-transmission medium which can be used to store information for access by a computing device. Rather, the communications media may embody computer-readable instructions, data structures, program modules, or other data in a modulated data signal such as a carrier wave or other transport mechanism. As defined herein, computer storage media does not include communication media. Although a computer storage medium (memory 1104) is shown in computing-based device 1100, it should be appreciated that the memory can be distributed or located remotely and accessible via a network or other communication link (e.g., using communication interface 1106).
Alternatively or in accordance with need, the computing-based device 1100 may also include an input/output controller 1110 arranged to output display information to a display device 1112, which may be separate from or integral with the computing-based device 1100. The display information may provide a graphical user interface. The input/output controller 1110 is also arranged to receive and process input from one or more devices, such as a user input device 1114 (e.g., a mouse or keyboard). This user input may be used to set a schedule of measurement reports, or to allocate communication resources, or to set which communication resources are of the first type and/or the second type, etc. In one embodiment, display device 1112 may also function as user input device 1114 if it is a touch sensitive display device. The input/output controller 1110 may also output data to devices other than a display device, such as other computing devices via the communication interface 1106, any other communication interface, or a locally connected printing device/computing device, or the like.
Fig. 11b illustrates an aspect of the BS 1100 described with reference to fig. 1 according to another embodiment. BS 1100 includes a transmit module 1181, a receive module 1182, and a detect module 1183 configured to perform actions 1010-1030, respectively.
More detailed embodiments of the communication system will now be described.
Sequence and PRACH preamble
In this proposal, the PRACH preamble is generated from a set of base sequences (or subsequences). These subsequences have the same length. An example of this basic set is a set of ZC sequences of a certain length L, where the set includes up to (L-1) ZC sequences corresponding to all possible roots 1 to L-1. Some further choices may be applied to this basis set to select some roots with certain properties, such as better cross-correlation with each other and/or better power spectral characteristics (e.g., cubic metrics).
PRACH preamble set:
each single PRACH preamble consists of a plurality of sub-sequences (which may be the same or different) taken from the basic set. Each PRACH preamble consists of N PRACH OFDM symbols, each OFDM symbol having its data (subsequence) and Cyclic Prefix (CP). The proposed construction of PRACH preamble therefore falls within option 4 in the 3GPP PRACH discussion string. The data portion of an OFDM symbol is a sub-sequence from the base set. According to one embodiment, the base sequence is used in the frequency domain and after an Inverse Fast Fourier Transform (IFFT), a cyclic prefix is added in the time domain. Another way of constructing the preamble is that the base sequence is used in the time domain, FFT operation is performed to convert it to the frequency domain, IFFT is performed using these frequency coefficients at RACH frequency resources and CP is added and transmitted.
The PRACH preamble set includes all possible preambles that are N OFDM symbols in length and are constructed from all possible combinations of sub-sequences taken from the basic set. The PRACH preamble set is then extended by generating a plurality of cyclic shifts for each different preamble formed in the previous step. In this case, one single cyclic shift is applied to the entire preamble, which means that all sub-sequences are shifted by a specific integer cyclic shift. These cyclic shifts may be applied to the base sequence in the time domain or the frequency domain. In fig. 3, only examples of PRACH preambles from a set of PRACH preambles are shown, wherein the PRACH preambles have a length of n=4 OFDM symbols.
Suppose a, b, c, d represents a base sequence of the same length L, e.g., ZC sequences of different root lengths L. X is x y Representing a base sequence x, e.g. a, with a cyclic shift y i Representing the base sequence a with a cyclic shift "i". GT represents a guard time. Seq represents the base sequence.
PRACH capability and selection of "appropriate" PRACH preambles
Unrestricted PRACH capability:
if the length (L) of the basic set of the selected ZC sequence is 139 complex symbols, the basic set may have L-1 (138) sequences with 1 to 138 different roots. If we assume that all the roots are used and that only 10 cyclic shifts are restricted to be applied to these sequences, the capacity or capacity (capability) of option 1 is (138 sequences) ×10 cyclic shifts) =1380. For the proposed scheme with this basic set, and PRACH preamble with 4 OFDM symbols (n=4), the number of PRACH preambles available is [ (L-1) ≡n sequences ] = (10 cyclic shifts) = (138) ≡4x10= 362,673,9360. For the proposed scheme, this capability or capacity increases exponentially with the number of PRACH OFDM symbols. This increase in capacity or capacity is a major advantage of the proposed solution compared to option 1 and option 2.
ZC root:
if the difference of these roots is relative to the length (L) of the ZC sequence to the original (prime), the ZC sequence shows better cross-correlation properties between the different roots. Also, the power spectral characteristics of some roots may be better than others. Thus, the number of sub-sequences (both option 1 and the proposed scheme) that are best used for the above calculation may be less than L-1.
Different cyclic shifts:
when the PRACH preamble is used to obtain UL synchronization for the UE, not all possible cyclic shifts may be used. Depending on cell size, channel conditions and network conditions, only a fraction of the cyclic shift can be applied. This should also be considered for accurate PRACH capability or capacity calculations. The capacity or capacity reduction resulting from the limitation of use of cyclic shifts applies equally to option 1, option 2 and the proposed PRACH sequences.
PRACH preamble selection:
if there is no limitation on how many different sub-sequences make up the PRACH preamble when forming the PRACH preamble, and how many OFDM symbols they differ for the different PRACH preambles, the PRACH capability or capacity becomes very large, but there are two important drawbacks:
The complexity of PRACH detection at the gNB can be enormous.
There may be different PRACH preambles, most of which are identical, but one or a few OFDM symbols are different from each other, resulting in an increased probability of missed detection and false positive.
Detection complexity of selected PRACH preambles is limited
In order to keep the PRACH detection complexity at the gNB within a reasonable range, it may be important to limit the use of PRACH preambles in each cell, where each cell is constructed from a basic sequence set containing a small number of different sub-sequences, and it may also be important to employ cyclic shifted versions of these sequences as much as possible. The cyclically shifted version has not only the advantage of reducing the complexity of detection at the gNB, but also better cross-correlation properties. In the case of using ZC sequences, the cyclically shifted versions of the same root sequence are orthogonal. Thus, PRACH preambles that are cyclically shifted versions of each other will also be mutually orthogonal.
As an example, if the PRACH preamble consists of n=4 OFDM symbols, but it is limited such that each cell uses only 2 sub-sequences to construct the available preamble set, that is, the base sequence group has only two base sequences, the number of available preambles (without cyclic shift) becomes 2 x 2 = 2^4 = 16. The preamble set (step 2 in preamble construction) can be further extended by creating a cyclically shifted preamble for each preamble formed in step 1. If the sub-sequence is a ZC sequence of length l=139 complex symbols and every 13 th cyclic shift (i.e. 10 possible cyclic shifts) can be used, the size of the set of available PRACH preambles is 2 ζ (10) = 2^4 x (10) =160.
If three different sub-sequences constitute the PRACH preamble set in each cell, the number of available PRACH preambles becomes 3^N x (10) = 3^4 x (10) =810.
In one possible strategy, a device in the core network may choose to assign different sets of base sequences to neighboring cells. In the case of 3 different sub-sequences allocated for each cell, the number of independent sets is (L-1)/3=138/3=46. Thus, 46 neighboring cells may be assigned preambles, wherein the PRACH preamble (even the sub-sequence) of each cell is completely unique, meaning that it is unique in all cells.
The BS may transmit PRACH configuration to be used in the cell as part of the downlink system information.
The selected PRACH preamble has "better" recognizability
Although the PRACH capacity or capacity may be greatly increased using all possible permutations of different sub-sequences, many of the resulting PRACH preambles will differ only for a small fraction of the PRACH duration. This may lead to increased false alarms and missed detection. Another problem is the identifiability of the PRACH preamble, which may become quite important in case the gNB cannot receive the complete PRACH preamble. This would be a typical use case of a beam scanning scenario. Allowing all possible permutations of the sub-sequences may make correct PRACH detection impossible in the event that a complete PRACH preamble is not received.
In order to better identify the different PRACH preambles even when fewer PRACH OFDM symbols are received, it may be important to limit the use of PRACH preambles in each cell so that they are "unique" within many PRACH OFDM symbols in a portion (but not all) of the PRACH preambles.
Uniqueness within 1 OFDM symbol:
if it is desired that both PRACH preambles be identifiable even though a single PRACH OFDM symbol is received at the gNB, each OFDM symbol in each PRACH preamble is unique. Thus, the preamble set for each cell may be such that no sub-sequences are repeated, i.e. each constituent sub-sequence occurs only once, not only in one preamble but also in the set of available preambles. Thus, for PRACH preambles of length n=4, 4 different sub-sequences may be employed in each preamble. Examples of two such preambles are "abcd" and "efgh" (CP is not mentioned here for brevity). Step 2 of preamble construction will allow the generation of other sequences using cyclic shifts that are applied to both PRACH sequences.
Uniqueness within 2 OFDM symbols:
If it is desired to have a PRACH preamble that can be uniquely identified when 2 PRACH OFDM symbols are received, the allowed PRACH preamble set may be such that every 2 OFDM symbols of all preambles in the allowed preamble set are unique. In order to have the correct time identifiability when 2 OFDM symbols are received in each preamble, each preamble is itself unique in each set of 2 OFDM symbols. As an example, a preamble consisting of 4 OFDM symbols long of "aaab" does not meet this requirement, because OFDM symbol (1, 2) and OFDM symbol (2, 3) are identical and do not allow the gNB to identify the correct timing.
Assuming we have a set of ZC sub-sequences ("a", "b", "c", "d") of l=139 complex symbols, we can build a set of 5 PRACH preambles, which is unique for any 2 OFDM symbols (CP is not shown below):
“aaba”,“acad”,“bbcb”,“bdcc”,“cdda”
thus, the reception of any 2 OFDM symbols will allow the gNB to clearly identify which part of which preamble has been received. Therefore, it can recognize the PRACH preamble and completely resolve the timing of the sender UE.
The 5 sequences may then be spread using the allowed cyclic shift to obtain a sufficient number of available preambles in each cell. If 13 cyclic shifts are allowed (10 cyclic shifts per length 139), the gNB will obtain 13×5=65 possible preambles, which is a fairly reasonable number (greater than the 64 preambles allocated by the LTE PRACH for each cell) so that the probability of two users selecting the same preamble at the time of PRACH transmission remains fairly. The cyclically shifted versions of the same preamble may have as high priority as possible, since they allow orthogonality due to ZC properties.
Although it is difficult to propose a closed form analytical formula (equation) that can directly provide a desired level of recognizability/uniqueness to the preamble, a simple computer program can easily construct such a preamble from the underlying set.
Time Ambiguity (TA) resolution in beam scanning scene
PRACH reception using beam scanning
For high frequencies, beamforming and beam scanning techniques are used in order to improve coverage.
An antenna with multiple components may be used to form a narrow beam with very high gain to cover a particular direction. The beam may sweep across the entire coverage area of the serving cell. Since one beam can cover only a very narrow area, a gNB with beam scanning functionality is unlikely to support frequency division multiplexing (FDMed) multiple UE multiplexing, since the probability that two or more UEs are scheduling in the same beam is much smaller than it is in a wide beam. This therefore limits the possibility of multiplexing the two users in frequency in a given beam. Thus, only a single UE is scheduled in one beam, and the resource blocks scheduled to this UE can be large in view of the large high frequency bandwidth, which can be very common situations. To increase the flexibility of scheduling, it is envisaged to employ short beam durations in the time domain to reduce the size of the scheduled resource blocks. Such a very short duration is called a "mini-slot", which may be as short as 1 or 2 OFDM symbols in length.
As shown in fig. 4, several consecutive beams may be used for the entire duration of the PRACH burst (burst). The beam duration is selected to include an integer number of PUSCH symbols for CP, and different subcarrier spacing (subcarrier spacing, SCS) may be used for PUSCH and PRACH, meaning that the length of PUSCH and PRACH symbols may be different.
If a smaller SCS is used, the beam duration may be one small slot; if a larger SCS is used, the beam duration may be several complete slots.
Returning to PRACH reception using beam scanning, when the beam duration is less than the PRACH burst length, only a certain number of fragments of the entire burst can be received using beam scanning. From the received fragments, the gNB may be able to detect the PRACH preamble and TA value.
Time Advance (TA) ambiguity
The UE uses the TA to advance the timing of its transmissions to the BS in order to compensate for the propagation delay. The propagation delay may also be referred to as propagation latency (latency), round trip propagation delay or latency, round Trip Time (RTT), propagation induced latency, and the like.
One goal of PRACH is to enable terminals to achieve UL synchronization. When the terminal is turned on, it will first perform DL synchronization and read system information (including related PRACH parameters) for UL access. When a terminal starts UL transmission without a valid TA, it will send a PRACH burst with TA (time advance) 0 from its DL timing, which will undoubtedly be received by the gNB after a round trip propagation delay. In response to the PRACH, the gNB may indicate one TA value to the terminal so that UL transmissions with this indicated TA value may be aligned in time with the gNB clock.
Fig. 5 shows the TA ambiguity problem caused when the gNB receives on a specific beam only for the FFT duration for different PRACH preamble options, namely option 1, option 2 and option 4. "proposed solution" means a proposed solution according to some embodiments of the invention. Fig. 5 shows a gNB 1100 receive (Rx) window. T denotes the length of one OFDM symbol. In this figure, the beam duration is equal to, for example, 3 OFDM symbols. When the latency due to propagation is less than one OFDM symbol, the gNB can detect a phase shift difference in the frequency domain, i.e., Δt. In this case, ta=Δt < T. Option 1 and option 4 may provide accurate timing, but option 2 cannot. However, when the delay is greater than one OFDM symbol, i.e., TA > T, and the gNB receives a small portion of the PRACH (as may occur in beam scanning, if the beam duration is 3 OFDM symbols), then the gNB can only detect Δt again using option 1. The detected Δt fraction is the fraction of less than one OFDM symbol in the segment received in one beam. In this case ta=x OFDM symbol times +Δt, where x is 2. Since the gNB does not know the location of the UE within the cell, the TA value cannot be determined. The case where the delay is greater than one OFDM symbol is called TA ambiguity. This TA ambiguity problem can only be resolved by the receiving method according to some embodiments of the present invention.
When the gNB uses beam scanning, the use of option 1 (which consists of one sub-sequence repeated multiple times) may cause time ambiguity when receiving fragments of the PRACH preamble. For option 2, the problem may be more severe because the gNB cannot even detect the preamble due to incomplete reception of the orthogonal cover code. As set forth, this ambiguity problem may be resolved by using PRACH preambles formed for better identification. These preambles have controlled repetition of a sub-sequence designed for a certain level of uniqueness so that even if a fragment of the PRACH preamble is received, the gNB can correctly identify the PRACH preamble and correct UE timing without generating TA ambiguity.
Effective time of 1 OFDM symbol per beam:
if the gNB is using beam scanning, where each beam is active for 1 OFDM symbol time, each OFDM symbol of the PRACH preamble may be unique. Thus, the preamble set may be such that there is no repeated sequence in not only one preamble but also in the set of available preambles.
Effective time of 2 OFDM symbols per beam:
if the gNB is using beam scanning, where each beam is valid for at least 2 OFDM symbols, the gNB may instruct the user to use a PRACH preamble set, where the PRACH preamble is unique for each 2 OFDM symbols.
Note that since the UE timing is unknown on the gNB, the gNB only needs to receive "n" complete OFDM symbols for a PRACH preamble that is unique within "n" OFDM symbols. In practice, this would require that the gNB have a beam duration of "n+1" OFDM symbols to fully acquire "n" complete OFDM symbols.
Main use case of the proposed application of PRACH preamble
The proposed PRACH preamble may be very beneficial in a use case where the PRACH preamble of option 1 is not efficient:
gNB using beam scanning:
the fact that the same sequence is repeated multiple times as a PRACH preamble and that the gNB may receive fragments of the transmitted preamble introduces a time ambiguity when the gNB is using beam scanning. Later, subsequent communications may be able to resolve this ambiguity, or in some cases may result in interfering or otherwise superfluous invalid communications. All of these situations may require subsequent communications to add delay and increase energy consumption. The proposed PRACH can successfully resolve the time ambiguity caused by the gNB beam scanning scenario.
This fast UL synchronization conversion with the proposed PRACH preamble translates into two main advantages: delay reduction and energy efficiency.
Furthermore, criteria for adjusting PRACH preamble uniqueness are described in some embodiments herein, which criteria apply to beam scan duration.
PRACH capability or capacity is large in the usage scenario related to mctc:
whether beam scanning is used or not, the proposed solution is very beneficial for the scenario of a large number of MTC devices with strict energy constraints. When these devices send signals and initiate RACH procedures, the RACH collision probability should be minimized to avoid waste of energy. The proposed preamble provides a very large PRACH capability or capacity as it allows a combination of multiple sub-sequences for the same sequence. This large PRACH capacity translates directly into very small collision probability, providing a very positive energy benefit.
Uplink delay (delay spread plus round trip time) beyond cyclic prefix
Most PRACH preamble format designs with cyclic prefix retain the constraint that the length of the cyclic prefix should be greater than the uplink delay, which is the sum of Delay Spread (DS) plus Round Trip Time (RTT). In at least some embodiments herein, a receiving method is presented by which the cyclic prefix does not have to be made larger than ds+rtt, but only larger than DS.
The idea is to delay each OFDM symbol in CP length units to make multiple Fast Fourier Transform (FFT) windows, as shown in fig. 9. The FFT window, where the CP portion of the window contains the start of the received PRACH symbol, will provide the best correlation result. When this concept is applied to PRACH detection, adjacent OFDM symbols may be coherently combined, received at the same lagging FFT window. The power comparison results from multiple windows will indicate which FFT window contains the start of PRACH preamble and indicate the correct UE timing.
Advantages of certain embodiments include an uplink PRACH delay greater than the CP length:
successful PRACH detection: even if the received PRACH has a delay (delay spread + round trip time) greater than the CP length, the gNB can still successfully detect the PRACH preamble using the proposed reception method.
Preamble format unification: with some embodiments herein, the gNB is able to detect PRACH from a UE located at a greater distance than the CP can digest, and the preamble format of the medium-to-large cell may be the same in CP.
-energy efficient PRACH preamble: since certain embodiments herein allow for successful detection of PRACH preambles with cyclic prefixes shorter than round trip time, the CP wastes less energy, making the RACH preamble more energy efficient.
Fig. 9 shows a gNB that places multiple receive (FFT) windows shifted in CP units. The embodiment according to fig. 9 is not limited to any particular PRACH option or any particular PRACH preamble format.
This is to combat delay spread. In the absence of PRACH preamble transmission for uplink synchronization, the CP may also absorb the round trip time. For medium to large cells, RTT can be many times the delay spread and therefore a very large CP is required. This translates into a significant power consumption of the UE on the CP, which is discarded at the receiver side, and thus energy is wasted entirely.
Fig. 8 shows PRACH sequences and CP lengths of PRACH preamble formats used in LTE. T-CP (in Ts) denotes a CP length in time slots, T-CP (in ms) denotes a CP length in milliseconds, T-SEQ (in Ts) denotes a sequence length in time slots, T-SEQ (in ms) denotes a sequence length in milliseconds, total length (in Ts) denotes a total length in time slots, guard time (in ms) is a GT in milliseconds, and Cell Radius is an approximate distance for which a specific preamble format allows correct detection. For formats 0 and 2, the cp is 11% of PRACH transmission. For formats 1 and 3, the cp length is 46% and 30% of the PRACH transmission length, respectively. This clearly shows that an important part of the UE energy is wasted on the CP. In view of these configurations, embodiments herein are particularly applicable to mctc devices where energy efficiency is a primary measure of communication quality.
These embodiments may include at least one of the following in innovative aspects:
the PRACH preamble comprises a plurality of sub-sequences, each of which introduces a cyclic prefix.
Among PRACH preambles, sub-sequences of the same length and different roots are used for each preamble with a cyclic prefix.
The construction of the PRACH preamble allows for unique identifiability and timing resolution of the preamble upon receipt of a fragment of the preamble.
The construction of the PRACH preamble such that the trade-off between the capability or capacity of the PRACH preamble and the detection complexity (how many different sub-sequences are allowed as PRACH preamble set in the cell) can be solved.
Means of PRACH preamble in terms of beam scanning, wherein receiving fragments of PRACH preamble at the gNB can successfully complete PRACH detection and resolve the problem of time ambiguity.
The construction of PRACH preamble in terms of beam scanning may be adapted to PRACH detection and TA resolution of any number of OFDM symbols (1, 2,3, etc.) to match (or adjust) the beam duration.
PRACH preamble may increase PRACH capacity or capacity and greatly reduce collision probability.
By placing multiple FFT reception windows each delayed in CP units for a reception method of correct PRACH detection, which allows successful detection of PRACH when the sum of DS and RTT may be greater than CP. In the prior art, the detection of PRACH preambles involves using only one receive window aligned with the downlink timing.
By placing multiple FFT windows delayed with CP for correct PRACH detection, multiple OFDM symbols of the same delayed window may be coherently or non-coherently combined to obtain better correctly timed detection performance.
By placing a plurality of FFT windows delayed by CP in the reception method for accurate PRACH detection, UL synchronization can be performed from PRACH even if the CP of PRACH is smaller than ds+rtt, and thus PRACH configuration can be unified.
The term "computer" is used herein to refer to any device having processing capabilities to thereby enable execution of instructions. Those skilled in the art will appreciate that these processing capabilities are included in many different devices, and thus the term "computer" or "computing device" includes PCs, servers, base stations, enbs, network contacts and other network elements, mobile phones, UEs, personal digital assistants, other portable wireless communication devices, and many other devices.
Those skilled in the art will appreciate that the storage devices used to store program instructions may be distributed across a network. For example, a remote computer may store an example of a program described as software. The local or terminal computer may access the remote computer and download a part or all of the software to run the program.
Alternatively, the local computer may download pieces of the software as needed, or execute several software instructions at the local terminal and at the remote computer (or computer network). Those skilled in the art will also appreciate that all or part of the software instructions may be implemented by special purpose circuitry, such as a DSP, programmable logic array, or the like, using conventional techniques known to those skilled in the art.
Any of the ranges or device values given herein may be extended or altered without losing the effect sought, as will be apparent to the skilled person.
It will be appreciated that the benefits and advantages described above may relate to one example or embodiment or may relate to several examples or embodiments. These examples or embodiments are not limited to examples or embodiments that solve any or all of the problems, or examples or embodiments that have any or all of the benefits and advantages.
It will also be understood that reference to an item of "a" refers to one or more of those items. The term "comprising" is used herein to refer to a method block, feature, or element that comprises the identification, but that does not constitute an exclusive list, and the method or apparatus may include additional blocks, features, or elements.
The steps of the methods described herein may be performed in any suitable order, or simultaneously as appropriate. Furthermore, individual blocks may be deleted from any of the methods without departing from the spirit and scope of the subject matter described herein. Aspects of any of the examples described above may be combined with aspects of any of the other examples described to form other examples without losing the effect sought.
It will be understood that the above description of the preferred embodiments is given by way of example only and that various modifications may be made by those skilled in the art. Although various embodiments have been described above with a certain degree of particularity, or with reference to one or more individual embodiments, those skilled in the art could make numerous alterations to the disclosed embodiments without departing from the spirit or scope of this disclosure.

Claims (16)

1. A method performed by a user equipment for performing random access to a base station in a telecommunications network, the method comprising: generating (620) a Physical Random Access Channel (PRACH) preamble comprising at least one Orthogonal Frequency Division Multiplexing (OFDM) symbol, wherein each OFDM symbol comprises a base sequence, wherein the base sequence is from a set of base sequences, the set of base sequences comprising one or more base sequences of the same length; -performing (630) a cyclic shift of the PRACH preamble in the time domain or the frequency domain; and transmitting (640) the PRACH preamble, wherein each base sequence in the PRACH preamble is unique, or each n consecutive base sequences in the PRACH preamble is unique, wherein n is an integer and n+.2, wherein in a beam scanning scenario, each OFDM symbol of the PRACH preamble is unique if each beam is valid for 1 OFDM symbol time; in the beam scanning scenario, the PRACH preamble is unique for every at least 2 OFDM symbols if each beam is valid for at least 2 OFDM symbols.
2. The method of claim 1, wherein each base sequence in the set of base sequences is unique in all cells of the telecommunications network.
3. The method of claim 1, further comprising: an indication of the PRACH configuration is received (610).
4. The method of claim 3, wherein the PRACH configuration comprises an indication of the base sequence set.
5. The method of any of claims 1-4, wherein a sum of a delay spread and a round trip delay of the UE is greater than a length of one PRACH symbol.
6. A method performed by a base station for detecting random access from a user equipment in a telecommunications network, the method comprising: -receiving (1020) a Physical Random Access Channel (PRACH) preamble, wherein the PRACH preamble comprises at least one Orthogonal Frequency Division Multiplexing (OFDM) symbol, wherein each OFDM symbol comprises a base sequence, wherein the base sequence is from a set of base sequences, the set of base sequences comprising one or more base sequences of the same length, wherein the PRACH preamble is cyclic shifted in the time domain or in the frequency domain, wherein each base sequence in the PRACH preamble is unique, or each n consecutive base sequences in the PRACH preamble is unique, wherein n is an integer, and n is ≡2, wherein in a beam scanning scenario each OFDM symbol of the PRACH preamble is unique if each beam is valid for 1 OFDM symbol time; in the beam scanning scenario, the PRACH preamble is unique for every at least 2 OFDM symbols if each beam is valid for at least 2 OFDM symbols.
7. The method of claim 6, further comprising: the PRACH preamble is detected (1030) in m Fast Fourier Transform (FFT) windows, where m is an integer and m times the length of a Cyclic Prefix (CP) is not less than the length of an OFDM symbol when the CP is included in the OFDM symbol.
8. The method of claim 7, wherein the start of the ith FFT window is the start of the (i-1) th FFT window plus a time after a time period less than or equal to the length of the CP, where i is an integer and 1.ltoreq.i.ltoreq.m.
9. The method of claim 7, further comprising: -transmitting (1010) an indication of the PRACH configuration to the user equipment.
10. The method of claim 9, wherein the PRACH configuration comprises an indication of the base sequence set to the UE.
11. The method of any of claims 6-10, wherein the BS is performing beam scanning.
12. A computer readable medium comprising program code stored therein, which when executed on a processor causes the processor to perform the method according to any of claims 1-5 or according to any of claims 6-11.
13. A non-transitory computer readable medium having stored thereon computer readable instructions for execution by a processor to perform the method according to any one of claims 1 to 5 or any one of claims 6 to 11.
14. The non-transitory computer readable medium of claim 13, comprising at least one of: hard disk, optical storage device, magnetic storage device, read-only memory, programmable read-only memory, erasable programmable read-only memory, electrically erasable programmable read-only memory, flash memory, and solid state disk.
15. A user equipment device (700) comprising a processor, a storage unit and a communication interface, wherein the processor unit, the storage unit and the communication interface are configured to perform the method according to any of claims 1 to 5.
16. A base station apparatus (1100) comprising a processor, a storage unit and a communication interface, wherein the processor unit, the storage unit and the communication interface are configured to perform the method according to any of claims 6-11.
CN201880029381.2A 2017-05-05 2018-04-26 Random access method and equipment Active CN110832943B (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
GB1707178.8A GB2562099A (en) 2017-05-05 2017-05-05 Methods and apparatuses for random-access
GB1707178.8 2017-05-05
PCT/CN2018/084644 WO2018201966A1 (en) 2017-05-05 2018-04-26 Methods and apparatuses for random-access

Publications (2)

Publication Number Publication Date
CN110832943A CN110832943A (en) 2020-02-21
CN110832943B true CN110832943B (en) 2024-01-19

Family

ID=59065583

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880029381.2A Active CN110832943B (en) 2017-05-05 2018-04-26 Random access method and equipment

Country Status (3)

Country Link
CN (1) CN110832943B (en)
GB (1) GB2562099A (en)
WO (1) WO2018201966A1 (en)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110771108B (en) * 2017-06-27 2022-06-24 株式会社Ntt都科摩 User device, base station, and random access control method
US20210345411A1 (en) * 2018-10-08 2021-11-04 Telefonaktiebolaget Lm Ericsson (Publ) PRACH Detection in a Radio Access Network
CN116367326A (en) * 2019-04-30 2023-06-30 大唐移动通信设备有限公司 PRACH resource allocation and indication allocation method, device and equipment
US11368263B2 (en) * 2019-08-14 2022-06-21 Qualcomm Incorporated Group hopping enhancement for base sequences
EP4042757A4 (en) * 2019-09-30 2022-10-05 ZTE Corporation System and method for configuring transmission resources and performing rach in wireless communication networks
US20220394780A1 (en) * 2019-10-18 2022-12-08 Telefonaktiebolaget Lm Ericsson (Publ) Random access preamble detection for propagation delay
CN113391122A (en) * 2021-06-09 2021-09-14 中电科思仪科技股份有限公司 Method for improving selectivity of frequency spectrum monitoring channel

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101507348A (en) * 2006-08-21 2009-08-12 高通股份有限公司 Method and apparatus for random access in an orthogonal multiple-access communication system
CN101958869A (en) * 2009-07-14 2011-01-26 Lg电子株式会社 Be used for generating the method and apparatus of range finding lead code at wireless communication system
KR20120032120A (en) * 2010-09-28 2012-04-05 주식회사 이노와이어리스 Apparatus for acquired preamble sequence
CN104094549A (en) * 2012-01-30 2014-10-08 高通股份有限公司 Cyclic prefix in evolved multimedia broadcast multicast service with high transmit power
CN106105361A (en) * 2014-03-25 2016-11-09 瑞典爱立信有限公司 The transmission of random access lead code signal and reception
CN106464627A (en) * 2014-06-11 2017-02-22 瑞典爱立信有限公司 Processing of random access preamble sequences

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200805910A (en) * 2006-06-19 2008-01-16 Interdigital Tech Corp Method and apparatus for performing random access in a wireless communication system
US8693412B2 (en) * 2007-03-20 2014-04-08 Alcatel Lucent Configurable random access channel structure for range extension in a wireless communication system
US8773968B2 (en) * 2007-08-06 2014-07-08 Texas Instruments Incorporated Signaling of random access preamble sequences in wireless networks
CN101409584A (en) * 2007-10-12 2009-04-15 Nxp股份有限公司 Random access preamble and receive scheme for radio communication system
CN101247658B (en) * 2008-03-19 2013-01-16 中兴通讯股份有限公司 Physical accidental access channel mapping method
CN101335713B (en) * 2008-07-25 2012-05-09 中兴通讯股份有限公司 Transmission method of physical random access channel and method for determining frequency domain initial position
US9736823B2 (en) * 2014-06-13 2017-08-15 Telefonaktiebolaget L M Ericsson (Publ) Preamble sets matched to uplink transmission conditions
RU2670379C1 (en) * 2014-12-29 2018-10-22 Телефонактиеболагет Л М Эрикссон (Пабл) Methods and devices for generation and detection preamble of arbitrary access

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101507348A (en) * 2006-08-21 2009-08-12 高通股份有限公司 Method and apparatus for random access in an orthogonal multiple-access communication system
CN101958869A (en) * 2009-07-14 2011-01-26 Lg电子株式会社 Be used for generating the method and apparatus of range finding lead code at wireless communication system
KR20120032120A (en) * 2010-09-28 2012-04-05 주식회사 이노와이어리스 Apparatus for acquired preamble sequence
CN104094549A (en) * 2012-01-30 2014-10-08 高通股份有限公司 Cyclic prefix in evolved multimedia broadcast multicast service with high transmit power
CN106105361A (en) * 2014-03-25 2016-11-09 瑞典爱立信有限公司 The transmission of random access lead code signal and reception
CN106464627A (en) * 2014-06-11 2017-02-22 瑞典爱立信有限公司 Processing of random access preamble sequences

Non-Patent Citations (5)

* Cited by examiner, † Cited by third party
Title
for approval".《3GPP tsg_ran\TSG_RAN》.2017,全文. *
Intel Corporation.R1-1700332 "PRACH preamble and resource allocation".3GPP tsg_ran\WG1_RL1.2017,(第TSGR1_AH期),全文. *
Intel Corporation.R1-1702184 "PRACH preamble and resource allocation".3GPP tsg_ran\WG1_RL1.2017,(第TSGR1_88期),全文. *
NTT DOCOMO等.RP-170377 "TR38.802 v1.0.0 on Study on New Radio (NR) Access Technology Physical Layer Aspects *
R1-1707932 "NR PRACH design";Samsung;《3GPP tsg_ran\WG1_RL1》;20170504;全文 *

Also Published As

Publication number Publication date
GB2562099A (en) 2018-11-07
GB201707178D0 (en) 2017-06-21
CN110832943A (en) 2020-02-21
WO2018201966A1 (en) 2018-11-08

Similar Documents

Publication Publication Date Title
CN110832943B (en) Random access method and equipment
US11212846B2 (en) Mechanisms for enhanced transmission and reception of physical random access channel
TWI625063B (en) Random access preamble for minimizing pa backoff
US10743351B2 (en) Method and wireless device for transmitting random-access preamble by means of single-tone method
CN109792767B (en) Method and apparatus for supporting multiple services in a wireless communication system
JP5213920B2 (en) Base station apparatus and reception method
KR101903358B1 (en) Uplink synchronization without preamble in sc-fdma
KR101790530B1 (en) Method and apparatus for detecting uplink synchronization signal of each level in wireless access system supporting high-frequency band
US10645731B2 (en) Random access enhancement based on scalable signature design
WO2015030524A1 (en) Method and device for detecting uplink synchronization signal in each step in wireless access system supporting high frequency band
EP3138349B1 (en) Enhanced prach scheme for power savings, range improvement and improved detection
WO2018078639A1 (en) Physical random-access channel for narrow band internet of things time division duplex mode
GB2562100A (en) Methods and apparatuses for random-access
US11659601B2 (en) Transmission device, reception device, transmission method, and reception method for random access operation

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant