CN110660651A - Method of forming a semiconductor structure - Google Patents

Method of forming a semiconductor structure Download PDF

Info

Publication number
CN110660651A
CN110660651A CN201910575179.6A CN201910575179A CN110660651A CN 110660651 A CN110660651 A CN 110660651A CN 201910575179 A CN201910575179 A CN 201910575179A CN 110660651 A CN110660651 A CN 110660651A
Authority
CN
China
Prior art keywords
cross
layer
linking agent
crosslinking agent
bottom layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201910575179.6A
Other languages
Chinese (zh)
Inventor
黄景弘
王建惟
张尚文
张庆裕
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/414,648 external-priority patent/US11442364B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN110660651A publication Critical patent/CN110660651A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Materials For Photolithography (AREA)

Abstract

The method includes forming an underlayer on a semiconductor substrate, where the underlayer includes a polymer bonded to a first crosslinker and a second crosslinker, the first crosslinker configured to be ultraviolet activated and the second crosslinker configured to be thermally activated at a first temperature. The method then exposes the bottom layer to an ultraviolet source to activate the first crosslinker, thereby forming an exposed bottom layer. The method further includes baking the exposed underlayer, wherein the baking step activates the second crosslinker.

Description

半导体结构的形成方法Method of forming a semiconductor structure

技术领域technical field

本发明实施例一般涉及集成电路装置的形成方法,更特别地涉及形成光刻胶底层的方法与材料。Embodiments of the present invention generally relate to methods of forming integrated circuit devices, and more particularly, to methods and materials for forming photoresist bottom layers.

背景技术Background technique

半导体集成电路产业已经历快速成长。机体电路材料与设计的技术进展,使每一代的集成电路都比前一代具有更小且更复杂的电路。然而这些进展会增加处理与形成集成电路的复杂度。为实现这些进展,处理与形成集成电路的方法也需类似发展。在集成电路演进中,功能密度(单位芯片面积的内联机装置数目)通常随着几何尺寸(采用的制作制程所能产生的最小构件)缩小而增加。随着光刻结构的尺寸缩小,需要较多数目的光圈制程以克服分辨率限制。The semiconductor integrated circuit industry has experienced rapid growth. Technological advances in body circuit materials and design have enabled each generation of integrated circuits to have smaller and more complex circuits than the previous generation. These advances, however, increase the complexity of processing and forming integrated circuits. To achieve these advances, methods of processing and forming integrated circuits need to evolve similarly. In the evolution of integrated circuits, functional density (the number of interconnected devices per chip area) typically increases as the geometry (the smallest component that can be produced by the manufacturing process employed) shrinks. As the size of photolithographic structures shrinks, a higher number of aperture processes are required to overcome resolution limitations.

光刻三层结构具有光敏顶层形成于至少一底层上,其用于解决光刻图案制程相关的一些问题。虽然三层结构的形成方法可适用于一般应用,但仍无法完全适用于所有方面。举例来说,在将底层的最终图案转移至下方基板时,光源不完全地固化底层可能会造成不一致的蚀刻速率。因此仍需改进此方面的问题。The photolithographic three-layer structure has a photosensitive top layer formed on at least one bottom layer, which is used to solve some problems related to the photolithographic patterning process. Although the formation method of the three-layer structure is applicable to general applications, it is still not fully applicable to all aspects. For example, a light source that does not fully cure the bottom layer may result in inconsistent etch rates when transferring the final pattern of the bottom layer to the underlying substrate. Therefore, there is still a need to improve this aspect.

发明内容SUMMARY OF THE INVENTION

本发明一实施例提供的半导体结构的形成方法,包括:形成底层于半导体基板上,其中底层包括键合至第一交联剂与第二交联剂的聚合物,其中第一交联剂设置为紫外线活化,而其中第二交联剂设置为第一温度的热活化;使底层暴露至紫外线源,以形成曝光的底层,其中使底层暴露至紫外线的步骤活化第一交联剂;以及烘烤曝光的底层,以活化第二交联剂。An embodiment of the present invention provides a method for forming a semiconductor structure, comprising: forming a bottom layer on a semiconductor substrate, wherein the bottom layer includes a polymer bonded to a first cross-linking agent and a second cross-linking agent, wherein the first cross-linking agent is provided thermal activation for ultraviolet activation, wherein the second crosslinking agent is set to a first temperature; exposing the base layer to a source of ultraviolet light to form an exposed base layer, wherein the step of exposing the base layer to ultraviolet light activates the first crosslinking agent; and baking The exposed bottom layer is baked to activate the second crosslinker.

本发明一实施例提供的方法,包括:旋转涂布材料层于半导体基板上,其中材料层包括连结至至少一紫外线交联剂与至少一热交联剂的聚合物,且其中材料层中的紫外线交联剂量大于材料层中的热交联剂量;使材料层暴露至具有第一波长的第一紫外线源,以形成曝光的材料层,其中材料层暴露至第一紫外线源的步骤诱发紫外线交联剂的交联;热固化曝光的材料层,以形成固化的材料层,其中热固化的步骤诱发热交联剂的交联;以及形成光刻胶层于固化的材料层上。An embodiment of the present invention provides a method comprising: spin-coating a material layer on a semiconductor substrate, wherein the material layer includes a polymer linked to at least one ultraviolet cross-linking agent and at least one thermal cross-linking agent, and wherein the material in the material layer The amount of ultraviolet crosslinking is greater than the amount of thermal crosslinking in the material layer; exposing the material layer to a first ultraviolet source having a first wavelength to form an exposed material layer, wherein the step of exposing the material layer to the first ultraviolet source induces ultraviolet crosslinking crosslinking of the linking agent; thermally curing the exposed material layer to form a cured material layer, wherein the step of thermally curing induces crosslinking of the thermal crosslinking agent; and forming a photoresist layer on the cured material layer.

本发明一实施例提供的方法,包括:形成底层于半导体基板上,且底层包括聚合物、设置为暴露至紫外线时交联的第一交联剂、以及设置为暴露至第一温度的热源时交联的第二交联剂、其中第一交联剂与第二交联剂键合至聚合物;使底层暴露至第二温度的第一热源,且第二温度低于第一温度;在使底层暴露至第一热源之后,使底层暴露至紫外线并诱发第一交联剂的交联,以形成曝光的底层;使底层暴露至第三温度的第二热源,以形成固化的底层,其中第三温度高于第一温度;形成中间层于固化的底层上;以及形成光刻胶层于中间层上。An embodiment of the present invention provides a method, comprising: forming an underlayer on a semiconductor substrate, and the underlayer includes a polymer, a first crosslinking agent configured to crosslink when exposed to ultraviolet rays, and a heat source configured to be exposed to a first temperature a cross-linked second cross-linking agent, wherein the first cross-linking agent and the second cross-linking agent are bonded to the polymer; exposing the base layer to a first heat source at a second temperature, and the second temperature is lower than the first temperature; in After exposing the base layer to the first heat source, exposing the base layer to ultraviolet light and inducing crosslinking of the first crosslinking agent to form an exposed base layer; exposing the base layer to a second heat source at a third temperature to form a cured base layer, wherein The third temperature is higher than the first temperature; an intermediate layer is formed on the cured bottom layer; and a photoresist layer is formed on the intermediate layer.

附图说明Description of drawings

图1A与1B是本发明多种实施例中,半导体装置的制作方法的流程图。1A and 1B are flowcharts of a method of fabricating a semiconductor device according to various embodiments of the present invention.

图2、3、4、5、9、10、11、12、13、14A、与14B是本发明多种实施例中,半导体装置在图1A与1B的方法的不同步骤中的剖视图。2, 3, 4, 5, 9, 10, 11, 12, 13, 14A, and 14B are cross-sectional views of semiconductor devices at various steps of the method of FIGS. 1A and 1B in accordance with various embodiments of the present invention.

图6、7、与8是本发明多种实施例中的化学结构。Figures 6, 7, and 8 are chemical structures in various embodiments of the present invention.

附图标记说明Description of reference numerals

100 方法100 ways

102、104、106、108、110、112、114、116、118、120 步骤102, 104, 106, 108, 110, 112, 114, 116, 118, 120 steps

200 工件200 workpieces

202 基板202 substrate

204、204A、204B、204C 底层204, 204A, 204B, 204C Bottom

206 中间层206 Intermediate layer

208 光刻胶层208 photoresist layer

212 曝光区212 Exposure area

214 未曝光区214 Unexposed area

216 射线216 Rays

218 图案218 Patterns

220 光掩膜220 Photomask

222 显影剂222 Developer

230、234 烘烤制程230, 234 Baking process

232 紫外线曝光制程232 UV exposure process

300 聚合物300 polymers

304、306、308 交联剂304, 306, 308 Crosslinker

320 聚合物骨架320 polymer backbone

330 聚合物网络。330 Polymer Network.

具体实施方式Detailed ways

可以理解的是,下述内容提供的不同实施例或实例可实施本发明的不同结构。下述特定构件与排列的实施例是用以简化本发明内容而非局限本发明。举例来说,形成第一构件于第二构件上的叙述包含两者直接接触的实施例,或两者之间隔有其它附加构件而非直接接触的实施例。此外,本发明实施例的结构形成于另一结构上、连接至另一结构、和/或耦接至另一结构中,结构可直接接触另一结构,或可形成附加结构于结构及另一结构之间(即结构未接触另一结构)。此外,本发明的多个实例可重复采用相同标号以求简洁,但多种实施例和/或设置中具有相同标号的组件并不必然具有相同的对应关系。It will be appreciated that the following description provides different embodiments or examples that may implement different structures of the invention. The following examples of specific components and arrangements are presented to simplify the present disclosure and not to limit the present disclosure. For example, the description of forming the first member on the second member includes an embodiment in which the two are in direct contact, or an embodiment in which other additional members are spaced between them without direct contact. In addition, the structures of the embodiments of the present invention may be formed on, connected to, and/or coupled to another structure, the structures may directly contact another structure, or additional structures may be formed within the structure and the other structure Between structures (ie, structures do not touch another structure). In addition, the same reference numerals may be used repeatedly in various examples of the present invention for brevity, but components with the same reference numerals in the various embodiments and/or arrangements do not necessarily have the same corresponding relationship.

此外,本发明实施例的结构形成于另一结构上、连接至另一结构、和/或耦接至另一结构中,结构可直接接触另一结构,或可形成附加结构于结构及另一结构之间。此外,空间性的相对用语如「下方」、「其下」、「较下方」、「上方」、「较上方」、或类似用语可用于简化说明某一组件与另一组件在图标中的相对关系。空间性的相对用语可延伸至以其它方向使用的组件,而非局限于图示方向。此外,当数值或数值范围的描述有「约」、「近似」、或类似用语时,除非特别说明否则其包含所述数值的+/-10%。举例来说,用语「约5nm」包含的尺寸范围介于4.5nm至5.5nm之间。In addition, the structures of the embodiments of the present invention may be formed on, connected to, and/or coupled to another structure, the structures may directly contact another structure, or additional structures may be formed within the structure and the other structure between structures. In addition, spatially relative terms such as "below," "below," "lower," "above," "above," or similar terms may be used to simplify the description of one component relative to another in the icon relation. Spatial relative terminology can be extended to components used in other orientations and is not limited to the illustrated orientation. Further, when a value or a range of values is described with "about," "approximately," or similar terms, it includes +/- 10% of the stated value unless specifically stated otherwise. For example, the term "about 5 nm" includes a size range between 4.5 nm and 5.5 nm.

本发明实施例一般涉及集成电路装置的形成方法,更特别地涉及形成光刻胶底层的方法与材料。许多用于图案化半导体基板的光刻胶为多层结构,其包含至少一底层(如底抗反射涂层)位于基板上,以及顶层(如光敏顶层)位于底层上。光刻胶可视情况进一步包含其它材料层,比如位于底层与顶层之间的至少一中间层(如硬掩膜层)。在光刻制程中采用多层光刻胶已证明具有优点,比如最小化基板对射线源(如光)的反射,并改善多种下方层之间的蚀刻选择性。然而仍需改进多层光刻胶的许多方面以用于进阶的图案化制程。举例来说,已发现由紫外线交联聚合物材料以形成底层的作法,可能在紫外线照射时具有不一致固化(如交联)的现象。在半导体基板含有的结构(如导电结构、间隔物、芯、或类似物)具有小于紫外线波长的空间时,不一致固化的效应特别普遍。如此一来,在形成图案化与光刻胶顶层,并将图案转移至底层之后,可能无法适当地蚀刻底层的未固化部分,造成图案质量损伤。如下列实施例所述,在底层中结合紫外线活化交联剂与热活化交联剂,在将底层暴露至活化个别交联剂的紫外线与热之后,可改善底层的固化程度。Embodiments of the present invention generally relate to methods of forming integrated circuit devices, and more particularly, to methods and materials for forming photoresist bottom layers. Many photoresists used to pattern semiconductor substrates are multilayer structures that include at least a bottom layer (eg, a bottom antireflective coating) on the substrate, and a top layer (eg, a photosensitive top layer) on the bottom layer. The photoresist may optionally further include layers of other materials, such as at least one intermediate layer (eg, a hard mask layer) between the bottom layer and the top layer. The use of multilayer photoresists in photolithographic processes has proven advantages, such as minimizing substrate reflection from radiation sources (eg, light) and improving etch selectivity among various underlying layers. However, many aspects of multilayer photoresists still need to be improved for advanced patterning processes. For example, it has been discovered that UV crosslinking of polymeric materials to form a primer layer may result in inconsistent curing (eg, crosslinking) upon UV exposure. The effect of non-uniform curing is particularly prevalent when semiconductor substrates contain structures (eg, conductive structures, spacers, cores, or the like) that have spaces smaller than the wavelength of ultraviolet light. As a result, after the patterned and photoresist top layer is formed and the pattern is transferred to the bottom layer, the uncured portion of the bottom layer may not be properly etched, resulting in a loss of pattern quality. As described in the following examples, combining a UV-activated crosslinker with a heat-activated crosslinker in the primer layer can improve the cure of the primer layer after exposing the primer layer to UV light and heat that activate the individual crosslinkers.

图1A与1B是本发明一些实施例中,对工件200进行制程的方法100的流程图。方法100仅用以举例而非局限本发明至权利要求未实际记载处。在方法100之前、之中、与之后可提供附加步骤,且制程的附加实施例可置换、省略、或调换一些所述步骤。方法100的中间步骤将搭配图2至5与图9至14B所示的工件200的剖视图说明,而化学结构与反应如图6至8所示。为简化说明,可简化附图中的一些单元。1A and 1B are flowcharts of a method 100 for processing a workpiece 200 in some embodiments of the present invention. The method 100 is only used to illustrate and not to limit the present invention to what is not actually recited in the claims. Additional steps may be provided before, during, and after method 100, and additional embodiments of the process may replace, omit, or exchange some of the steps. The intermediate steps of the method 100 will be described in conjunction with the cross-sectional views of the workpiece 200 shown in FIGS. 2-5 and 9-14B , while the chemical structures and reactions are shown in FIGS. 6-8 . To simplify the description, some elements in the drawings may be simplified.

如图1A与2所示,方法100的步骤102提供基板202。基板202可包含半导体元素(单一元素)如结晶结构的硅和/或结晶结构的锗;半导体化合物如碳化硅、砷化镓、磷化镓、磷化铟、砷化铟、和/或锑化铟;半导体合金如硅锗、磷砷化镓、砷化铝铟、砷化铝镓、砷化镓铟、磷化镓铟、和/或磷砷化镓铟;非半导体材料如钠钙玻璃、熔融氧化硅、熔融石英、和/或氟化钙;和/或上述的组合。As shown in FIGS. 1A and 2 , step 102 of method 100 provides a substrate 202 . Substrate 202 may comprise semiconductor elements (single elements) such as crystalline structure silicon and/or crystalline structure germanium; semiconductor compounds such as silicon carbide, gallium arsenide, gallium phosphide, indium phosphide, indium arsenide, and/or antimonide Indium; semiconductor alloys such as silicon germanium, gallium arsenide phosphide, aluminum indium arsenide, aluminum gallium arsenide, indium gallium arsenide, indium gallium phosphide, and/or indium gallium arsenide phosphide; non-semiconductor materials such as soda lime glass, Fused silica, fused silica, and/or calcium fluoride; and/or combinations of the foregoing.

基板202可为具有一致组成的单层材料。在其它实施例中,基板202可包含具有类似或不同组成的多个材料层,其适用于形成集成电路装置。在一例子中,基板202可为绝缘层上硅基板,其具有半导体硅层形成于氧化硅层上。在另一例中,基板202可包含导电层、半导体层、介电层、其它层、和/或上述的组合。在一些实施例中,基板202可为具有实质上平坦表面的硅晶圆。在一些实施例中,基板202可包含结构如间隔物或芯,其可图案化并在后续步骤中移除,以包含附加制程步骤。Substrate 202 may be a single layer of material having a consistent composition. In other embodiments, the substrate 202 may include multiple layers of materials having similar or different compositions, which are suitable for forming integrated circuit devices. In one example, the substrate 202 may be a silicon-on-insulator substrate having a semiconductor silicon layer formed on a silicon oxide layer. In another example, the substrate 202 may include conductive layers, semiconductor layers, dielectric layers, other layers, and/or combinations thereof. In some embodiments, the substrate 202 may be a silicon wafer having a substantially flat surface. In some embodiments, the substrate 202 may include structures such as spacers or cores, which may be patterned and removed in subsequent steps to include additional process steps.

基板202可包含多种电路结构形成于其上或其中,比如场效晶体管、金属氧化物半导体场效晶体管、互补式金属氧化物半导体晶体管、高电压晶体管、高频晶体管、双极性接面晶体管、二极管、电阻、电容、电感、变电容二极管、其它合适装置、和/或上述的组合。在一些例子中,基板202可包含多个三维主动区或鳍状物、多个栅极结构、和/或多个间隔物或芯。The substrate 202 may include various circuit structures formed thereon or in it, such as field effect transistors, metal oxide semiconductor field effect transistors, complementary metal oxide semiconductor transistors, high voltage transistors, high frequency transistors, bipolar junction transistors , diodes, resistors, capacitors, inductors, varactor diodes, other suitable devices, and/or combinations of the foregoing. In some examples, the substrate 202 may include multiple three-dimensional active regions or fins, multiple gate structures, and/or multiple spacers or cores.

在基板202包括场效晶体管的一些实施例中,多种掺杂区如源极/漏极区可形成于基板202之中或之上。掺杂区可掺杂n型掺质如磷或砷,和/或p型掺质如硼或二氟化硼,视设计需求而定。掺杂区可为平面或非平面(比如在鳍状场效晶体管中),且可直接形成于基板202上、形成于p型井结构中、形成于n型井结构中、形成于双型井结构中、或采用隆起结构。掺杂区的形成方法可为布植掺质原子、原位掺杂的磊晶成长、和/或其它合适的技术。In some embodiments where the substrate 202 includes field effect transistors, various doped regions such as source/drain regions may be formed in or on the substrate 202 . The doped regions can be doped with n-type dopants such as phosphorus or arsenic, and/or p-type dopants such as boron or boron difluoride, depending on design requirements. The doped regions can be planar or non-planar (such as in FinFETs), and can be formed directly on the substrate 202, in p-well structures, in n-well structures, in dual wells In the structure, or using a raised structure. The doped regions may be formed by implanting dopant atoms, in-situ doped epitaxial growth, and/or other suitable techniques.

如图1A与2所示,方法100的步骤104形成底层204(或第一层)于基板202上。在许多实施例中,底层204为底抗反射涂层材料,在曝光后续形成的光刻胶层(如图4中的光刻胶层208)时,其组成可使射线源的反射最小化。如图6所示,底层204包含的聚合物300具有键合至多个交联成分(以下称作交联剂304、306、和/或308)的聚合物骨架320。交联剂304、306、和/或308响应一或多个外部刺激,可活化,以与相邻的交联剂交联。在许多实施例中,聚合物300包含键合至聚合物骨架320的交联剂304,并包含交联剂306和308中的一或两者。As shown in FIGS. 1A and 2 , step 104 of method 100 forms a bottom layer 204 (or first layer) on substrate 202 . In many embodiments, the bottom layer 204 is a bottom antireflective coating material that is composed to minimize reflections from the radiation source when exposing a subsequently formed photoresist layer (such as photoresist layer 208 in FIG. 4). As shown in FIG. 6, the bottom layer 204 comprises a polymer 300 having a polymer backbone 320 bonded to a plurality of cross-linking components (hereinafter referred to as cross-linking agents 304, 306, and/or 308). Cross-linking agents 304, 306, and/or 308 are activatable in response to one or more external stimuli to cross-link with adjacent cross-linking agents. In many embodiments, polymer 300 includes cross-linking agent 304 bonded to polymer backbone 320 and includes one or both of cross-linking agents 306 and 308 .

特别的是,聚合物骨架320可包含丙烯酸酯为主的聚合物、降冰片烯与顺丁烯二酸酐的共聚物、聚羟基苯乙烯为主的聚合物、其它合适的聚合物、或上述的组合,其具有任何数目的官能基以有助于后续进行的一或多个曝光及显影制程。在一例子中,官能基可包含光刻敏感基团(敏化剂)如酚、苯乙烯、氟化物、和/或其它合适的基团。在许多实施例中,官能基板含酸敏基团,其设置为可被酸性成分从聚合物骨架切断。In particular, the polymer backbone 320 may comprise an acrylate-based polymer, a copolymer of norbornene and maleic anhydride, a polyhydroxystyrene-based polymer, other suitable polymers, or the foregoing In combination, it has any number of functional groups to facilitate one or more subsequent exposure and development processes. In one example, the functional group may comprise a lithographically sensitive group (sensitizer) such as phenol, styrene, fluoride, and/or other suitable groups. In many embodiments, the functional substrate contains acid-sensitive groups that are configured to be cleaved from the polymer backbone by acidic components.

交联剂304为紫外线活化的交联剂,其设置为由紫外线源活化。换言之,交联剂在照射波长介于约160nm至约300nm之间的紫外线源时,即可与另一交联剂交联,且设置上述紫外线以引发交联剂304的交联反应。可以理解的是,这些波长范围不限于此并取决于交联剂304的化学组成,且可采用其它波长的紫外线源。在一些实施例中,一旦交联剂304照射紫外线,即可与类似的另一交联剂交联。在其它实施例中,一旦交联剂304照射紫外线,即可与不同的另一交联剂交联。The cross-linking agent 304 is a UV-activated cross-linking agent configured to be activated by a UV source. In other words, the cross-linking agent can be cross-linked with another cross-linking agent when irradiated with an ultraviolet source with a wavelength between about 160 nm and about 300 nm, and the above-mentioned ultraviolet light is set to initiate the cross-linking reaction of the cross-linking agent 304 . It will be appreciated that these wavelength ranges are not so limited and depend on the chemical composition of the crosslinking agent 304, and that other wavelengths of ultraviolet light sources may be employed. In some embodiments, once the cross-linking agent 304 is exposed to ultraviolet light, it can be cross-linked with another cross-linking agent that is similar. In other embodiments, once the cross-linking agent 304 is irradiated with ultraviolet light, it can be cross-linked with a different cross-linking agent.

交联剂304可包含下述结构:H2C=CH-R-,而R指的是交联剂键合至聚合物骨架320的部分。在许多实施例中,R为含π键的官能基,其设置为与H2C=CH-共轭。R的非局限性例子包含–(C=O)–、–(C=O)–O–、–CH=CH–、苯基、酚、其它合适的官能基、或上述的组合。在许多实施例中,紫外线源的波长可活化交联剂304,视交联剂304中R的化学组成而定。如此一来,交联剂304的化学组成选择,取决于可用的稳定紫外线波长。反过来说,紫外线源的选择取决于键合至聚合物骨架320的一或多个交联剂304中R的化学组成。一旦照射紫外线,交联剂304的H2C=CH–部分经由加成反应,与键合至不同聚合物骨架320的另一类似或不同的交联剂形成–H2C–CH–(如碳-碳共价键),以构成由交联剂304连接的聚合物骨架320的以碳为主的网络。The cross-linking agent 304 may comprise the following structure: H 2 C=CH-R-, where R refers to the portion of the cross-linking agent bonded to the polymer backbone 320 . In many embodiments, R is a [pi] bond-containing functional group configured to be conjugated to H2C =CH-. Non-limiting examples of R include -(C=O)-, -(C=O)-O-, -CH=CH-, phenyl, phenol, other suitable functional groups, or combinations thereof. In many embodiments, the wavelength of the ultraviolet source can activate the crosslinking agent 304, depending on the chemical composition of R in the crosslinking agent 304. As such, the choice of chemical composition of the crosslinking agent 304 depends on the available stable UV wavelengths. Conversely, the selection of the UV source depends on the chemical composition of R in the one or more crosslinkers 304 bound to the polymer backbone 320 . Once irradiated with UV light, the H2C =CH- moiety of the crosslinker 304 forms -H2C- CH- via an addition reaction with another similar or different crosslinker bonded to a different polymer backbone 320 (eg carbon-carbon covalent bonds) to form a carbon-based network of polymer backbone 320 linked by cross-linking agent 304.

交联剂306不同于交联剂304,且为热活化的交联剂(设置为由热源活化的交联剂)。换言之,交联剂306在暴露至热源时可与另一交联剂交联。交联剂306设置为在达到临界温度时才活化,其取决于其特定的化学组成。若温度低于临界温度,则施加至交联剂306的热能不足以引发化学键的断裂与形成。交联剂306可与类似化学组成的另一交联剂交联。在其它实施例中,交联剂306可与不同化学组成的另一交联剂交联。交联剂306可包含任何合适的官能基如苯基、烷基取代的苯基、环氧基、羟基、醚、酯、酚醛树脂、其它合适的官能基、或上述的组合。在一些例子中,交联剂306的临界温度可介于约150℃至约250℃之间,视交联剂306的特定化学性质而定。本发明实施例也可实施其它临界温度,视交联剂306的特定化学性质而定。Cross-linking agent 306 is different from cross-linking agent 304 and is a thermally activated cross-linking agent (configured as a cross-linking agent activated by a heat source). In other words, the crosslinking agent 306 can crosslink with another crosslinking agent when exposed to a heat source. The crosslinking agent 306 is configured to activate upon reaching a critical temperature, depending on its specific chemical composition. If the temperature is below the critical temperature, the thermal energy applied to the crosslinking agent 306 is not sufficient to initiate the breaking and formation of chemical bonds. The cross-linking agent 306 can be cross-linked with another cross-linking agent of similar chemical composition. In other embodiments, the crosslinking agent 306 can be crosslinked with another crosslinking agent of a different chemical composition. The crosslinker 306 may comprise any suitable functional group such as phenyl, alkyl substituted phenyl, epoxy, hydroxyl, ether, ester, phenolic, other suitable functional groups, or a combination of the foregoing. In some examples, the critical temperature of the cross-linking agent 306 may be between about 150° C. and about 250° C., depending on the specific chemical properties of the cross-linking agent 306 . Embodiments of the present invention may also implement other critical temperatures, depending on the specific chemical properties of the crosslinking agent 306 .

交联剂308为紫外线-热混合交联剂,其设置为可由紫外线源、热源、或紫外线源与热源活化。换言之,交联剂308在照射紫外线源时可与另一交联剂交联,和/或在升温至高于交联剂308的临界温度时可与另一交联剂交联。因此在所述实施例中,交联剂308可与另一交联剂308、交联剂304、或交联剂306交联。举例来说,交联剂308设置为在紫外线曝光制程时,可与交联剂304(如紫外线活化交联剂)键合。在其它实施例中,交联剂306可设置为与交联剂306(如热活化交联剂)键合。Crosslinking agent 308 is a UV-thermal hybrid crosslinking agent configured to be activated by a UV source, a heat source, or both a UV source and a heat source. In other words, the cross-linking agent 308 can cross-link with another cross-linking agent when irradiated with an ultraviolet source, and/or can cross-link with another cross-linking agent when the temperature is raised above the critical temperature of the cross-linking agent 308 . Thus, in such embodiments, the cross-linking agent 308 may be cross-linked with another cross-linking agent 308 , cross-linking agent 304 , or cross-linking agent 306 . For example, the cross-linking agent 308 is configured to bond with the cross-linking agent 304 (eg, a UV-activated cross-linking agent) during the UV exposure process. In other embodiments, the crosslinking agent 306 may be configured to bond with the crosslinking agent 306 (eg, a thermally activated crosslinking agent).

交联剂308可具有下述结构:The crosslinking agent 308 may have the following structure:

Figure BDA0002111877150000071
Figure BDA0002111877150000071

其中R可包括-(C=O)-、-(C=O)-O-、–CH=CH–、苯基、酚基、其它合适的官能基、或上述的组合如前述。X设置为将被热活化的那部分。X可为烷基链(如(–CH2–)n,其中n介于2至6之间、其它烷基结构、或上述的组合)、芳环(如苯、酚、苯胺、甲苯、二甲苯、其它芳香结构、或上述的组合)、杂芳环(如呋喃、噻吩、吡啶、吲哚、其它杂芳环、或上述的组合)、其它合适的官能基、或上述的组合。交联剂308可由紫外线源活化,且紫外线的波长(或波长范围)可引发交联剂308的H2C=CH-R-部分中的化学反应(与交联剂304类似)。在附加或其它实施例中,可由热源活化交联剂308,其升温至高于交联剂308的临界温度,以引发交联剂308的X部分中的化学反应。wherein R may include -(C=O)-, -(C=O)-O-, -CH=CH-, phenyl, phenolic, other suitable functional groups, or a combination of the foregoing as previously described. X is set to the part that will be thermally activated. X can be an alkyl chain (eg ( -CH2- ) n , where n is between 2 and 6, other alkyl structures, or a combination of the above), an aromatic ring (eg, benzene, phenol, aniline, toluene, toluene, other aromatic structures, or a combination of the above), a heteroaromatic ring (eg, furan, thiophene, pyridine, indole, other heteroaromatic rings, or a combination of the above), other suitable functional groups, or a combination of the above. The crosslinker 308 can be activated by a UV source, and the wavelength (or range of wavelengths) of the UV light can initiate a chemical reaction in the H2C =CH-R- portion of the crosslinker 308 (similar to the crosslinker 304). In additional or other embodiments, the cross-linking agent 308 may be activated by a heat source that is raised above the critical temperature of the cross-linking agent 308 to initiate a chemical reaction in the X portion of the cross-linking agent 308 .

一旦交联剂306被热活化,其将与键合至不同的聚合物骨架320的类似的或不同的另一交联剂形成共价键(如交联),且可能会产生一或多种反应副产物。由于一旦完成交联反应即可自底层204消除这些副产物(比如蒸发副产物),因此底层204可能收缩。举例来说,副产物包含小分子物种如甲醇、乙醇、水、或类似物,其在以缩合为主的交联反应时蒸发,诱使底层204收缩。然而,紫外线活化的交联反应,例如与交联剂304相关的交联反应,仅产生少量副产物或无副产物(与交联剂306反应产生的副产物相比非常少)。相反地,其形成碳-碳(如碳单键)网络,其在完成交联反应时只会略微收缩或不收缩。综上所述,为避免膜崩溃和/或缺乏膜厚一致性,聚合物300包含的交联剂304和/或308的量大于交联剂306的量。在一例子中,底层204中包含的交联剂306的量,可介于交联剂304和/或交联剂308的量的约25%至约67%之间。若交联剂306的量大于约67%,则或导致底层204的膜崩溃。若交联剂306的量小于约25%则聚合物300缺乏紫外线曝光,无法使其底部完全固化。Once the crosslinker 306 is thermally activated, it will form covalent bonds (eg, crosslinks) with another crosslinker, similar or different, bonded to a different polymer backbone 320, and may create one or more reaction by-products. Since these by-products (eg, evaporation by-products) can be eliminated from the bottom layer 204 once the cross-linking reaction is complete, the bottom layer 204 may shrink. For example, by-products include small molecular species such as methanol, ethanol, water, or the like, which evaporate during condensation-based cross-linking reactions, inducing shrinkage of the bottom layer 204. However, UV-activated cross-linking reactions, such as those associated with cross-linking agent 304, produce little or no by-products (very few as compared to cross-linking agent 306 reactions). Instead, it forms a carbon-carbon (eg, carbon single bond) network, which shrinks only slightly or not when the crosslinking reaction is completed. In summary, to avoid film collapse and/or lack of film thickness consistency, polymer 300 includes cross-linking agent 304 and/or 308 in an amount greater than cross-linking agent 306 . In one example, the amount of cross-linking agent 306 included in the bottom layer 204 may be between about 25% and about 67% of the amount of cross-linking agent 304 and/or cross-linking agent 308 . If the amount of cross-linking agent 306 is greater than about 67%, the film of bottom layer 204 may collapse. If the amount of crosslinking agent 306 is less than about 25%, the polymer 300 lacks UV exposure to fully cure its bottom.

如图1A与2所示,底层204的形成方法可为旋转涂布聚合物300于基板202的上表面上(或多层基板202的最顶材料层的上表面上)。旋转涂布制程可采用离心力,在基板202的整个上表面使液相的聚合物300分散至一致的厚度。聚合物300可溶于溶剂中以便实施与应用聚合物300。在移除溶剂后,可形成固态或半固态的底层204(如膜)。溶剂可为下述的一或多者:丙二醇甲醚醋酸酯、丙二醇单甲醚、γ-丁内酯、乳酸乙酯、环己酮、乙基酮、二甲基甲酰胺、醇(如异丙醇或乙醇)、其它合适的溶剂、或上述的组合。在旋转涂布制程的部分制程中、沉降制程期间、和/或后续的烘烤制程期间可移除溶剂。As shown in FIGS. 1A and 2 , the bottom layer 204 may be formed by spin-coating the polymer 300 on the upper surface of the substrate 202 (or on the upper surface of the topmost material layer of the multilayer substrate 202 ). The spin coating process can use centrifugal force to disperse the polymer 300 in the liquid phase to a uniform thickness over the entire upper surface of the substrate 202 . The polymer 300 is soluble in a solvent in order to implement and apply the polymer 300. After removal of the solvent, a solid or semi-solid base layer 204 (eg, film) can be formed. The solvent can be one or more of the following: propylene glycol methyl ether acetate, propylene glycol monomethyl ether, gamma-butyrolactone, ethyl lactate, cyclohexanone, ethyl ketone, dimethylformamide, alcohols (such as iso propanol or ethanol), other suitable solvents, or a combination of the above. The solvent may be removed during part of the spin coating process, during the settling process, and/or during the subsequent bake process.

如图1A与3所示,方法100的步骤106对工件200进行烘烤制程230,以形成烘烤的底层204A。烘烤制程230的温度低于交联剂306和/或交联剂308的临界温度,因此交联剂不会被活化而与相邻的交联剂形成化学键(或破坏与相邻的交联剂的化学键)。相反地,烘烤制程230可设置为在旋转涂布制程时降低导入的应力和/或应变,以有助于热再流动以获得底层204的平坦性(比如光滑的上表面)和/或促进基板202的结构上的底层204填入(如填隙)。在一例子中,烘烤制程230的温度介于约50℃至约200℃之间。也可采用其它温度实施烘烤制程230。举例来说,低于约50℃的任何温度可能无法给聚合物300提供足够的热能,因此无法使底层204的上表面产生热再流动。在一些实施例中可省略烘烤制程230,而方法100可进行如下述的紫外线曝光制程。As shown in FIGS. 1A and 3 , step 106 of method 100 performs a bake process 230 on workpiece 200 to form baked bottom layer 204A. The temperature of the bake process 230 is below the critical temperature of the cross-linking agent 306 and/or the cross-linking agent 308, so that the cross-linking agent is not activated to form chemical bonds with adjacent cross-linking agents (or destroy adjacent cross-linking agents) chemical bonds of the agent). Conversely, the bake process 230 may be configured to reduce the stress and/or strain introduced during the spin coating process to facilitate thermal reflow to achieve planarity of the bottom layer 204 (eg, a smooth upper surface) and/or to facilitate The underlying layer 204 on the structure of the substrate 202 is filled (eg, interstitial). In one example, the temperature of the baking process 230 is between about 50°C and about 200°C. Other temperatures may also be used to implement the bake process 230 . For example, any temperature below about 50° C. may not provide sufficient thermal energy to polymer 300 to generate thermal reflow on the upper surface of bottom layer 204 . In some embodiments, the bake process 230 may be omitted, and the method 100 may perform the UV exposure process as described below.

如图1A、4、与7所示,方法100的步骤108对工件200进行紫外线曝光制程232以形成曝光的底层204B,其包含聚合物网络330。如图7所示,一旦实施紫外线曝光制程232,键合至一聚合物骨架320的交联剂304与308(如其紫外线活化部分)将与键合至不同聚合物骨架320的交联剂304和/或308交联(如形成共价键)。换言之,交联剂304和/或308使两个聚合物骨架320交联形成聚合物网络330。在本发明实施例中,采用虚线表示共价键,以与每个交联剂与其聚合物骨架之间的化学键区分。在许多实施例中,交联剂304可与另一交联剂304交联,或改为与交联剂308(比如其紫外线活化部分)交联。类似地,交联剂308可与另一交联剂308交联,或改为与交联剂304交联。As shown in FIGS. 1A , 4 , and 7 , step 108 of method 100 performs a UV exposure process 232 on workpiece 200 to form exposed bottom layer 204B, which includes polymer network 330 . As shown in FIG. 7, once the UV exposure process 232 is performed, the crosslinkers 304 and 308 bound to one polymer backbone 320 (eg, their UV activated moieties) will interact with the crosslinkers 304 and 308 bound to a different polymer backbone 320. /or 308 cross-linking (eg forming covalent bonds). In other words, the crosslinking agents 304 and/or 308 crosslink the two polymer backbones 320 to form the polymer network 330 . In the embodiments of the present invention, covalent bonds are represented by dashed lines to distinguish them from chemical bonds between each crosslinking agent and its polymer backbone. In many embodiments, the cross-linking agent 304 may be cross-linked with another cross-linking agent 304, or instead with a cross-linking agent 308, such as a UV-activated portion thereof. Similarly, the cross-linking agent 308 can be cross-linked with another cross-linking agent 308, or with the cross-linking agent 304 instead.

步骤108实施的紫外线波长,可取决于交联剂304和/或308的化学组成。特别的是,交联剂306和/或308中的官能基R的组成,可决定步骤108实施的紫外线波长。在一些实施例中,波长范围介于约160nm至约300nm之间。用于产生不同波长的不同紫外线源的稳定性可变,并可影响曝光制程的质量。如此一来,一些实施例中与稳定紫外线源相对应的波长,可作为对交联剂304和/或308中包含的特定官能基R进行选择的参数。The UV wavelength at which step 108 is performed may depend on the chemical composition of the crosslinking agent 304 and/or 308 . In particular, the composition of the functional groups R in the cross-linking agents 306 and/or 308 can determine the wavelength of the ultraviolet rays used in the step 108. In some embodiments, the wavelength range is between about 160 nm to about 300 nm. The stability of different UV sources used to generate different wavelengths can vary and can affect the quality of the exposure process. As such, in some embodiments, the wavelength corresponding to the stable UV source can be used as a parameter for selecting the specific functional group R contained in the crosslinking agent 304 and/or 308 .

在一些例子中,底层204B的整个厚度的紫外线曝光程度可能不一致。举例来说,若基板202包括的结构空间小于紫外线波长,则可能无法充分地活化底层204B的底部中的紫外线活化交联剂。不完全的交联(或固化)可能会影响底层204B的质量与后续蚀刻底层204B的步骤。本发明提供的方法在紫外线曝光制程232之后实施热固化制程,以在底层204B中提供附加的交联。In some instances, the UV exposure level may not be uniform throughout the thickness of the bottom layer 204B. For example, if the substrate 202 includes a structural space smaller than the UV wavelength, the UV-activated crosslinking agent in the bottom of the bottom layer 204B may not be sufficiently activated. Incomplete crosslinking (or curing) may affect the quality of the bottom layer 204B and subsequent steps of etching the bottom layer 204B. Methods provided by the present invention perform a thermal curing process after the UV exposure process 232 to provide additional crosslinking in the bottom layer 204B.

如图1A、5、与8所示,方法100的步骤110对工件200进行烘烤制程234,可热固化聚合物网络330以形成底层204C。在许多实施例中,烘烤制程234可热活化聚合物网络330中包含的交联剂306。如图8所示,在实施烘烤制程(或固化制程)234时,键合至一聚合物骨架320的交联剂306与308(比如其热活化部分),可与键合至不同聚合物骨架320的交联剂306和/或308交联(比如形成共价键)。在许多实施例中,交联剂306可与另一交联剂306交联,或改为与交联剂308(其热活化部分)交联。类似地,交联剂308可与另一交联剂308交联,或改为与交联剂306交联。在一些实施例中(虽然未图示于此),交联剂308可在紫外线曝光制程232时与交联剂304形成紫外线活化交联,且之后可在烘烤制程234时与交联剂306形成热活化交联。在一些实施例中,加热基板202以实施烘烤制程234,使靠近或接触基板202的底层204C的底部的加热程度,大于底层204C的顶部的加热程度。如此一来,在步骤108中紫外线固化难以到达的底层204C的底部(因为紫外线照射设置为由上至下)可被热固化,例如被交联,以确保底层204C中的固化的程度被最大化以至遍及整个厚度区域。在一些实施例中,烘烤制程234的实施温度,高于活化交联剂308的热活化部分和/或交联剂306所需的临界温度。在一些例子中,烘烤制程234的实施温度可介于约150℃至约250℃之间,视交联剂306和/或交联剂308的特定化学组成而定。此外也可采用其它温度或其它温度范围。As shown in FIGS. 1A , 5 , and 8 , step 110 of method 100 performs a bake process 234 on workpiece 200 to thermally cure polymer network 330 to form bottom layer 204C. In many embodiments, the bake process 234 can thermally activate the crosslinker 306 included in the polymer network 330 . As shown in FIG. 8, during the baking process (or curing process) 234, the cross-linking agents 306 and 308 (eg, thermally activated portions thereof) bonded to a polymer backbone 320 may be bonded to different polymers Crosslinking agents 306 and/or 308 of backbone 320 crosslink (eg, form covalent bonds). In many embodiments, crosslinking agent 306 may be crosslinked with another crosslinking agent 306, or instead crosslinking with crosslinking agent 308 (the thermally activated portion thereof). Similarly, the cross-linking agent 308 can be cross-linked with another cross-linking agent 308, or with the cross-linking agent 306 instead. In some embodiments (although not shown here), the crosslinking agent 308 may form a UV-activated crosslink with the crosslinking agent 304 during the UV exposure process 232, and then may interact with the crosslinking agent 306 during the bake process 234. Heat activated crosslinks are formed. In some embodiments, the substrate 202 is heated to perform the bake process 234 such that the bottom of the bottom layer 204C near or in contact with the substrate 202 is heated to a greater degree than the top of the bottom layer 204C. As such, the bottom of the bottom layer 204C that is difficult to reach by UV curing in step 108 (since the UV irradiation is set from top to bottom) can be thermally cured, eg, cross-linked, to ensure that the extent of curing in the bottom layer 204C is maximized Even throughout the entire thickness area. In some embodiments, the bake process 234 is performed at a temperature above the critical temperature required to activate the thermally activated portion of the crosslinker 308 and/or the crosslinker 306 . In some examples, bake process 234 may be performed at a temperature between about 150° C. and about 250° C., depending on the specific chemical composition of cross-linking agent 306 and/or cross-linking agent 308 . In addition, other temperatures or other temperature ranges may also be used.

如图1B与9所示,方法100的步骤112形成中间层206(或第二层)于底层204上。中间层206可为单层结构,或各自具有不同组成的多层结构。在许多实施例中,中间层206的组成可给后续实施的光刻制程提供所需的抗反射特性和/或硬掩膜特性。中间层206的形成方法可为旋转涂布一含有一被溶解于溶剂中的合适的聚合物的溶液,以形成中间层206于底层204上。此旋转涂布制程可与前述步骤104中的旋转涂布制程类似。在一些实施例中,可省略步骤112。As shown in FIGS. 1B and 9 , step 112 of method 100 forms intermediate layer 206 (or second layer) on bottom layer 204 . The intermediate layer 206 may be a single-layer structure, or a multi-layer structure each having a different composition. In many embodiments, the composition of the intermediate layer 206 may provide the desired anti-reflection properties and/or hard mask properties for subsequent lithography processes. The intermediate layer 206 may be formed by spin coating a solution containing a suitable polymer dissolved in a solvent to form the intermediate layer 206 on the bottom layer 204 . This spin coating process can be similar to the spin coating process in the aforementioned step 104 . In some embodiments, step 112 may be omitted.

如图1B与10所示,方法100的步骤114形成光刻胶层208于中间层206上。光刻胶层208可为光敏层,其可由曝光制程图案化,且曝光引发光刻胶层208中的一系列光化学反应。光刻胶层208可包含任何合适的光敏光刻胶材料,且许多实施例中的光刻胶层208所包含的光刻胶材料对射线源(如紫外线、深紫外线、和/或极紫外线)敏感。然而本发明的原理可适用于电子束光刻胶与其它直写式光刻胶的材料。光刻胶层208可具有单层结构或多层结构。在许多实施例中,光刻胶层208曝光至射线的区域进行化学反应,例如分解,因此曝光至射线的区域转在显影溶液中变得可溶。在其它实施例中,光刻胶层208的曝光部分进行化学反应,例如聚合和/或交联,因此曝光部分在显影溶液中变得不可溶。As shown in FIGS. 1B and 10 , step 114 of method 100 forms a photoresist layer 208 on the interlayer 206 . The photoresist layer 208 can be a photosensitive layer that can be patterned by an exposure process that initiates a series of photochemical reactions in the photoresist layer 208 . The photoresist layer 208 may comprise any suitable photosensitive photoresist material, and in many embodiments the photoresist material included in the photoresist layer 208 is resistant to radiation sources (eg, ultraviolet, deep ultraviolet, and/or extreme ultraviolet) sensitive. However, the principles of the present invention are applicable to e-beam photoresist and other direct-write photoresist materials. The photoresist layer 208 may have a single-layer structure or a multi-layer structure. In many embodiments, the radiation-exposed regions of the photoresist layer 208 undergo a chemical reaction, eg, decomposition, so that the radiation-exposed regions in turn become soluble in the developing solution. In other embodiments, the exposed portions of the photoresist layer 208 undergo chemical reactions, such as polymerization and/or cross-linking, such that the exposed portions become insoluble in the developing solution.

在许多实施例中,光刻胶层208包含的聚合物其骨架(未图示)具有多个连接至骨架的官能基(未图示)。聚合物骨架可包含丙烯酸酯为主的聚合物、降冰片烯与顺丁烯二酸酐的共聚物、聚羟基苯乙烯为主的聚合物、其它合适的聚合物、或上述的组合,其具有任何数目的官能基以有助于后续进行的一或多个曝光及显影制程。在一例子中,官能基可包含光刻敏感基团(敏化剂)如酚、苯乙烯、氟化物、和/或其它合适的基团。在另一例中,官能基可包含酸敏基团,其设置为可被酸性成分从聚合物骨架切断的基团。In many embodiments, photoresist layer 208 comprises a polymer whose backbone (not shown) has a plurality of functional groups (not shown) attached to the backbone. The polymer backbone may comprise acrylate-based polymers, copolymers of norbornene and maleic anhydride, polyhydroxystyrene-based polymers, other suitable polymers, or combinations of the foregoing, with any of the above. number of functional groups to facilitate one or more subsequent exposure and development processes. In one example, the functional group may comprise a lithographically sensitive group (sensitizer) such as phenol, styrene, fluoride, and/or other suitable groups. In another example, the functional group may comprise an acid-sensitive group, which is provided as a group that can be cleaved from the polymer backbone by an acidic component.

此外,光刻胶层208可实施为化学放大光刻胶材料,其包含光敏成分于光刻胶材料组成中。举例来说,光刻胶层208包含一或多个光酸产生剂,其可产生酸性成分以响应射线曝光。合适的光酸产生剂的非局限性例子包含具有磺酸盐的锍阳离子盐、具有磺酸盐的錪离子盐、砜偶氮甲烷化合物、N-砜氧基酰亚胺光酸产生剂、安息香磺酸盐光酸产生剂、邻苯三酚三磺酸盐光酸产生剂、硝基苄基磺酸盐光酸产生剂、砜光酸产生剂、乙二肟衍生物、全氟丁基磺酸三苯基锍盐、和/或目前已知或未来发展的其它合适的光酸产生剂。光刻胶层208可附加包含或改为包含其它光敏成分,比如光分解碱、光碱产生剂、光分解淬息剂、其它光敏成分、或上述的组合。光刻胶层208也可包含多种添加剂如交联剂(如四羟甲基甘脲交联剂或环氧交联剂)、表面活性剂、发色团、和/或溶剂。可由任何合适的技术施加光刻胶层208,比如前述的旋转涂布制程。方法100可实施曝光前烘烤制程,以蒸发旋转涂布制程时所残留的任何溶剂。In addition, the photoresist layer 208 may be implemented as a chemically amplified photoresist material, which includes a photosensitive component in the composition of the photoresist material. For example, photoresist layer 208 includes one or more photoacid generators, which can generate acidic components in response to radiation exposure. Non-limiting examples of suitable photoacid generators include sulfonium cation salts with sulfonates, iodonium ion salts with sulfonates, sulfone azomethane compounds, N-sulfoneoxyimide photoacid generators, benzoin Sulfonate photoacid generator, pyrogallol trisulfonate photoacid generator, nitrobenzylsulfonate photoacid generator, sulfone photoacid generator, glyoxime derivative, perfluorobutylsulfonic acid acid triphenylsulfonium salt, and/or other suitable photoacid generators now known or developed in the future. The photoresist layer 208 may additionally or alternatively contain other photosensitive components, such as photolytic bases, photobase generators, photolytic quenchers, other photosensitive components, or combinations thereof. The photoresist layer 208 may also contain various additives such as cross-linking agents (eg, tetramethylol glycoluril cross-linking agents or epoxy cross-linking agents), surfactants, chromophores, and/or solvents. The photoresist layer 208 may be applied by any suitable technique, such as the spin coating process previously described. Method 100 may implement a pre-exposure bake process to evaporate any solvent remaining during the spin coating process.

如图1B与11所示,方法100的步骤116以射线216曝光光刻胶层208。在许多实施例中,射线216可为I-线(波长接近365nm)、深紫外线如氟化氪准分子激光(波长近似248nm)或氟化氩准分子激光(波长近似193nm)、极紫外线(波长介于约1nm至约100nm之间)、x光、电子束、离子束、和/或其它合适的射线。As shown in FIGS. 1B and 11 , step 116 of method 100 exposes photoresist layer 208 with radiation 216 . In many embodiments, the rays 216 may be I-line (approximately 365 nm wavelength), deep ultraviolet such as a krypton fluoride excimer laser (approximately 248 nm wavelength) or argon fluoride excimer laser (approximately 193 nm wavelength), extreme ultraviolet (approximately 193 nm wavelength) between about 1 nm and about 100 nm), x-rays, electron beams, ion beams, and/or other suitable radiation.

步骤110的曝光制程可在大气中、在液体中(浸润式光刻)、或在真空中(比如极紫外线光刻与电子束光刻)进行。在所述实施例中,方法100采用光掩膜220实施光刻技术,且光掩膜220包含图案218。光掩膜220可为穿透式光掩膜或反射式光掩膜,其可进一步各自采用分辨率增进技术如相移、离轴照射、和/或光学邻近修正。在其它实施例中,以预定图案如集成电路布局直接调整射线216,而不采用光掩膜220(比如采用电子束直写器)。在一实施例中,射线216为极紫外线,且步骤110的曝光制程在极紫外线光刻系统中进行。相对地,反射性的光掩膜220可用于图案化光刻胶层208。在许多实施例中,射线216的波长不同于紫外线曝光制程232的紫外线波长。在射线216采用极紫外线的实施例中,射线216的波长小于步骤108的紫外线曝光制程所实施的紫外线波长。The exposure process of step 110 can be performed in the atmosphere, in a liquid (immersion lithography), or in a vacuum (eg, EUV lithography and electron beam lithography). In the described embodiment, the method 100 employs a photomask 220 to perform lithography, and the photomask 220 includes the pattern 218 . Photomask 220 may be a transmissive photomask or a reflective photomask, which may further each employ resolution enhancement techniques such as phase shifting, off-axis illumination, and/or optical proximity correction. In other embodiments, the rays 216 are directly adjusted in a predetermined pattern, such as an integrated circuit layout, without using a photomask 220 (eg, using an electron beam direct writer). In one embodiment, the radiation 216 is EUV, and the exposure process of step 110 is performed in an EUV lithography system. In contrast, a reflective photomask 220 may be used to pattern the photoresist layer 208 . In many embodiments, the wavelength of radiation 216 is different from the UV wavelength of UV exposure process 232 . In the embodiment in which the rays 216 are extreme ultraviolet rays, the wavelengths of the rays 216 are smaller than the wavelengths of the ultraviolet rays implemented in the ultraviolet exposure process in step 108 .

之后如图1B与12所示,光刻胶层208的曝光区212进行光化学反应,而光刻胶层208的未曝光区维持为与曝光前的光刻胶材料实质上相同。在一些实施例中,曝光区212中的材料分解并转变成在显影溶液中可溶。在其它实施例中,光刻胶层208的曝光区212中的材料聚合和/或交联,且转变为在显影溶液中不可溶。在所述实施例中,曝光之前的光刻胶材料被化学放大,因此曝光制程产生的化学反应被一或多个光敏成分引发,其之后触发曝光区的材料的后续反应。Afterwards, as shown in FIGS. 1B and 12 , the exposed regions 212 of the photoresist layer 208 undergo a photochemical reaction, while the unexposed regions of the photoresist layer 208 remain substantially the same as the photoresist material before exposure. In some embodiments, the material in the exposed area 212 decomposes and becomes soluble in the developing solution. In other embodiments, the material in the exposed regions 212 of the photoresist layer 208 polymerizes and/or cross-links and becomes insoluble in the developing solution. In this embodiment, the photoresist material prior to exposure is chemically amplified, so that the chemical reaction generated by the exposure process is initiated by one or more photoactive components, which then trigger subsequent reactions of the material in the exposed area.

如图1B与13所示,方法100的步骤118在工件200上实施显影制程。显影制程可溶解或移除曝光区212(如图14B所示)或未曝光区214(如图14A所示),视步骤110中曝光制程时发生的特定化学变化与显影剂的本性而定。合适的水为主显影剂包含氢氧化四甲基铵、氢氧化钾、氢氧化纳、和/或其它合适的溶剂,且合适的有机溶剂为主的显影剂包含溶剂如醋酸正丁酯、乙醇、己烷、苯、甲苯、和/或其它合适的溶剂。施加显影剂222的步骤可包含以旋转涂布制程喷洒显影剂222于光刻胶层208上。步骤112的显影制程可由曝光后烘烤制程开始。曝光后烘烤制程可催化光刻胶层208中的聚合物与反应的光敏成分之间的反应,视光刻胶层208中包含的聚合物而定。As shown in FIGS. 1B and 13 , step 118 of method 100 performs a development process on workpiece 200 . The development process can dissolve or remove exposed areas 212 (shown in FIG. 14B ) or unexposed areas 214 (shown in FIG. 14A ), depending on the specific chemical changes that occur during the exposure process in step 110 and the nature of the developer. Suitable water-based developers include tetramethylammonium hydroxide, potassium hydroxide, sodium hydroxide, and/or other suitable solvents, and suitable organic solvent-based developers include solvents such as n-butyl acetate, ethanol , hexane, benzene, toluene, and/or other suitable solvents. The step of applying the developer 222 may include spraying the developer 222 on the photoresist layer 208 in a spin coating process. The development process of step 112 may start with a post-exposure bake process. The post-exposure bake process may catalyze the reaction between the polymer in the photoresist layer 208 and the reacted photosensitive components, depending on the polymer contained in the photoresist layer 208 .

如图1B所示,方法100的步骤120进行的附加制作制程,可包括在一或多道蚀刻制程中,将形成于光刻胶层208中的图案转移至下方的中间层206与底层204C。蚀刻制程可由任何合适方法实施,包括干蚀刻制程、湿蚀刻制程、其它合适蚀刻制程、反应性离子蚀刻制程、或上述的组合。之后可采用图案化的底层204C作为掩膜,以对基板202进行制程。对基板202进行的制程可为任何合适方法,包括沉积制程、布植制程、磊晶成长制程、和/或任何其它制作制程。在一实施例中,采用图案化的底层204C作为蚀刻掩膜并蚀刻基板202。然而本发明实施例可用于在基板202上进行的任何制作制程。在多种例子中,图案化的底层204C作为掩膜以制作栅极堆栈、内联机结构、非平面装置(如鳍状物,其制作方法为蚀刻或磊晶成长鳍状物材料)、和/或基板202中的其它合适的结构。在对基板202进行制程之后,可从基板202移除图案化的光刻胶层208、图案化的中间层206、与图案化的底层204C。在一些实施例中,可由任何合适制程(如等离子体灰化或光刻胶剥除)一起移除图案化的底层204C与图案化的光刻胶层208和/或图案化的中间层206。在其它实施例中,在以合适方法从工件200移除图案化的光刻胶层208与图案化的中间层206之后,可由蚀刻制程移除图案化的底层204C,且蚀刻制程可为干蚀刻制程、湿蚀刻制程、反应性离子蚀刻制程、和/或其它合适的蚀刻制程。As shown in FIG. 1B , additional fabrication processes performed in step 120 of method 100 may include transferring the pattern formed in photoresist layer 208 to underlying intermediate layer 206 and bottom layer 204C in one or more etching processes. The etching process may be performed by any suitable method, including a dry etching process, a wet etching process, other suitable etching processes, a reactive ion etching process, or a combination thereof. Then, the patterned bottom layer 204C can be used as a mask to process the substrate 202 . The processes performed on the substrate 202 can be any suitable method, including deposition processes, implantation processes, epitaxial growth processes, and/or any other fabrication processes. In one embodiment, the patterned bottom layer 204C is used as an etch mask and the substrate 202 is etched. However, embodiments of the present invention can be used for any fabrication process performed on the substrate 202 . In various examples, the patterned bottom layer 204C acts as a mask to fabricate gate stacks, interconnect structures, non-planar devices (eg, fins, which are fabricated by etching or epitaxial growth of fin material), and/or or other suitable structures in the substrate 202 . After processing the substrate 202 , the patterned photoresist layer 208 , the patterned intermediate layer 206 , and the patterned bottom layer 204C may be removed from the substrate 202 . In some embodiments, patterned bottom layer 204C may be removed together with patterned photoresist layer 208 and/or patterned intermediate layer 206 by any suitable process, such as plasma ashing or photoresist strip. In other embodiments, after the patterned photoresist layer 208 and the patterned intermediate layer 206 are removed from the workpiece 200 in a suitable manner, the patterned bottom layer 204C may be removed by an etching process, which may be a dry etching process , wet etching process, reactive ion etching process, and/or other suitable etching process.

在许多实施例中,步骤120之后可采用工件200制作集成电路芯片、单芯片系统、和/或它们的一部分,因此后续的制作制程可形成多种被动与主动的微电子装置如电阻、电容、电感、二极管、金属氧化物半导体场效晶体管、互补式金属氧化物半导体晶体管、双极结型晶体管、横向扩散金属氧化物半导体晶体管、高功率金属氧化物半导体晶体管、其它种类的晶体管、和/或其它电路单元。In many embodiments, workpiece 200 may be used to fabricate integrated circuit chips, SoCs, and/or portions thereof after step 120, so that subsequent fabrication processes may form various passive and active microelectronic devices such as resistors, capacitors, Inductors, diodes, metal oxide semiconductor field effect transistors, complementary metal oxide semiconductor transistors, bipolar junction transistors, laterally diffused metal oxide semiconductor transistors, high power metal oxide semiconductor transistors, other kinds of transistors, and/or other circuit units.

此处所述的方法、装置、与组成的一或多个实施例具有多种优点。本发明实施例提供的底层(如底抗反射涂层)形成于基板上,其设置为有助于光刻图案化制程。特别的是,底层可包含聚合物网络,其具有与其键合的至少一紫外线交联剂与至少一热交联剂。在一些实施例中,本发明实施例提供的方法在进行光刻图案化制程之前,将底层暴露至紫外线以活化紫外线交联剂,接着将底层暴露至热以活化热交联剂。光刻图案化制程包括曝光与显影底层上的光敏顶层。在许多实施例中,底层中包含紫外线活化交联剂与热活化交联剂两者给聚合物网络中提供附加的交联位点,在将底层暴露至紫外线与热以活化它们各自的交联剂之后能改善固化程度。One or more embodiments of the methods, apparatus, and compositions described herein have various advantages. The bottom layer (eg, bottom anti-reflection coating) provided by the embodiment of the present invention is formed on the substrate, and is arranged to facilitate the photolithographic patterning process. In particular, the bottom layer may comprise a polymer network having at least one UV crosslinking agent and at least one thermal crosslinking agent bound thereto. In some embodiments, the methods provided by the embodiments of the present invention expose the bottom layer to ultraviolet light to activate the ultraviolet crosslinking agent, and then expose the bottom layer to heat to activate the thermal crosslinking agent, prior to the photolithographic patterning process. The photolithographic patterning process involves exposing and developing a photosensitive top layer on top of the bottom layer. In many embodiments, the inclusion of both UV- and heat-activated cross-linking agents in the primer layer provides additional cross-linking sites in the polymer network before exposing the primer layer to UV light and heat to activate their respective cross-links After curing, the degree of curing can be improved.

在本发明一实施例中,方法包括形成底层于半导体基板上,其中底层包括键合至第一交联剂与第二交联剂的聚合物,第一交联剂设置为紫外线活化,而第二交联剂设置为第一温度的热活化。方法还包括使底层暴露至紫外线源,以形成曝光的底层,其中使底层暴露至紫外线源的步骤活化第一交联剂。方法也包括烘烤曝光的底层,其中烘烤步骤活化第二交联剂。In one embodiment of the present invention, the method includes forming an underlayer on the semiconductor substrate, wherein the underlayer includes a polymer bonded to a first cross-linking agent and a second cross-linking agent, the first cross-linking agent is configured to be UV-activated, and the first cross-linking agent is configured to be UV-activated. The thermal activation of the second crosslinking agent is set to the first temperature. The method also includes exposing the base layer to an ultraviolet source to form an exposed base layer, wherein exposing the base layer to the ultraviolet source activates the first crosslinking agent. The method also includes baking the exposed bottom layer, wherein the baking step activates the second crosslinking agent.

在一些实施例中,第一交联剂包括H2C=CH–R–,且其中R包括–(C=O)–、–(C=O)–O–、–CH=CH–、苯基、酚、或上述的组合。In some embodiments, the first crosslinking agent comprises H2C =CH-R-, and wherein R comprises -(C=O)-, -(C=O)-O-, -CH=CH-, benzene base, phenol, or a combination of the above.

在一些实施例中,第二交联剂包括苯基、烷基取代的苯基、环氧基、羟基、醚、酯、酚醛树脂、或上述的组合。In some embodiments, the second crosslinking agent comprises phenyl, alkyl-substituted phenyl, epoxy, hydroxyl, ether, ester, phenolic resin, or a combination thereof.

在一些实施例中,烘烤步骤为第一烘烤制程,且方法还包括在使底层暴露至紫外线的步骤之前对底层进行第二烘烤制程,且第二烘烤制程设置为使底层上表面光滑。In some embodiments, the baking step is a first baking process, and the method further includes performing a second baking process on the bottom layer before the step of exposing the bottom layer to ultraviolet light, and the second baking process is configured to expose the upper surface of the bottom layer to smooth.

在一些实施例中,第二烘烤制程的温度低于第一温度。In some embodiments, the temperature of the second baking process is lower than the first temperature.

在一些实施例中,第一烘烤制程的温度高于第一温度。In some embodiments, the temperature of the first baking process is higher than the first temperature.

在一些实施例中,聚合物键合至第三交联剂,其中第三交联剂设置为紫外线与热活化。In some embodiments, the polymer is bonded to a third crosslinking agent, wherein the third crosslinking agent is configured to be UV and thermally activated.

在一些实施例中,第三交联剂包括H2C=CH–(C=O)–O–X–,且X包括烷基链、芳环、杂芳环、或上述的组合。In some embodiments, the third crosslinking agent comprises H2C =CH-(C=O)-O-X-, and X comprises an alkyl chain, an aromatic ring, a heteroaromatic ring, or a combination thereof.

本发明另一实施例提供的方法包括旋转涂布材料层于半导体基板上,其中材料层包括连结至至少一紫外线交联剂与至少一热交联剂的聚合物,且其中材料层中的紫外线交联剂量大于材料层中的热交联剂量。方法包括使材料层暴露至具有第一波长的第一紫外线源,以形成曝光的材料层,其中材料层暴露至第一紫外线源的步骤诱发紫外线交联剂的交联。接着热固化曝光的材料层以形成固化的材料层,其中热固化的步骤诱发热交联剂的交联。接着形成光刻胶层于固化的材料层上。Another embodiment of the present invention provides a method comprising spin coating a material layer on a semiconductor substrate, wherein the material layer includes a polymer linked to at least one UV crosslinking agent and at least one thermal crosslinking agent, and wherein the UV light in the material layer The amount of crosslinking is greater than the amount of thermal crosslinking in the material layer. The method includes exposing the material layer to a first ultraviolet source having a first wavelength to form an exposed material layer, wherein exposing the material layer to the first ultraviolet source induces crosslinking of the ultraviolet crosslinking agent. The exposed material layer is then thermally cured to form a cured material layer, wherein the step of thermally curing induces crosslinking of the thermal crosslinking agent. Next, a photoresist layer is formed on the cured material layer.

在一些实施例中,方法还包括使光刻胶层暴露至具有第二波长的第二紫外线源,以形成曝光的光刻胶层,其中第二波长不同于第一波长;以及显影曝光的光刻胶层以形成图案。In some embodiments, the method further includes exposing the photoresist layer to a second ultraviolet light source having a second wavelength to form an exposed photoresist layer, wherein the second wavelength is different from the first wavelength; and developing the exposed light resist layer to form a pattern.

在一些实施例中,第二波长小于第一波长。In some embodiments, the second wavelength is less than the first wavelength.

在一些实施例中,热交联剂量与紫外线交联剂量的比例至少为约25%但不大于约67%。In some embodiments, the ratio of the amount of thermal crosslinking to the amount of UV crosslinking is at least about 25% but not greater than about 67%.

在一些实施例中,方法还包括在形成光刻胶层之前,形成中间层于固化的材料层上。In some embodiments, the method further includes forming an intermediate layer on the cured material layer prior to forming the photoresist layer.

在一些实施例中,紫外线交联剂包括紫外线活化官能基团,其包含–(C=O)–、–(C=O)–O–、–CH=CH–、苯基、酚、或上述的组合。In some embodiments, the UV crosslinking agent includes a UV-activated functional group comprising -(C=O)-, -(C=O)-O-, -CH=CH-, phenyl, phenol, or the above The combination.

在一些实施例中,紫外线活化官能基团经由烷基链、芳环、杂芳环、或上述的组合连接至聚合物。In some embodiments, the UV-activated functional group is attached to the polymer via an alkyl chain, an aromatic ring, a heteroaromatic ring, or a combination thereof.

在一些实施例中,紫外线交联剂还包括设置为热活化的官能基团。In some embodiments, the UV crosslinking agent further includes a functional group configured to be thermally activated.

本发明又一实施例提供的方法包括:形成底层于半导体基板上,且底层包括聚合物、设置为暴露至紫外线时交联的第一交联剂、以及设置为暴露至第一温度的热源时交联的第二交联剂,其中第一交联剂与第二交联剂键合至聚合物。方法接着使底层暴露至第二温度的第一热源,且第二温度低于第一温度。在使底层暴露至第一热源之后,使底层暴露至紫外线并诱发第一交联剂的交联,以形成曝光的底层。使曝光的底层暴露至第三温度的第二热源,以形成固化的底层,其中第三温度高于第一温度。方法接着形成中间层于固化的底层上;以及形成光刻胶层于中间层上。Yet another embodiment of the present invention provides a method comprising: forming an underlayer on a semiconductor substrate, and the underlayer includes a polymer, a first crosslinking agent configured to crosslink when exposed to ultraviolet light, and configured to be exposed to a heat source at a first temperature A crosslinked second crosslinking agent, wherein the first crosslinking agent and the second crosslinking agent are bonded to the polymer. The method then exposes the bottom layer to a first heat source at a second temperature, and the second temperature is lower than the first temperature. After exposing the base layer to the first heat source, the base layer is exposed to ultraviolet light and crosslinking of the first crosslinking agent is induced to form the exposed base layer. The exposed base layer is exposed to a second heat source at a third temperature to form a cured base layer, wherein the third temperature is higher than the first temperature. The method then follows forming an intermediate layer on the cured bottom layer; and forming a photoresist layer on the intermediate layer.

在一些实施例中,第二交联剂的量少于第一交联剂的量。In some embodiments, the amount of the second crosslinking agent is less than the amount of the first crosslinking agent.

在一些实施例中,聚合物还包括丙烯酸酯为主的聚合物、降冰片烯与顺丁烯二酸酐的共聚物、聚羟基苯乙烯为主的聚合物、或上述的组合。In some embodiments, the polymer further includes an acrylate-based polymer, a copolymer of norbornene and maleic anhydride, a polyhydroxystyrene-based polymer, or a combination thereof.

在一些实施例中,底层还包括设置为暴露至紫外线与热源时交联的第三交联剂。In some embodiments, the bottom layer further includes a third crosslinking agent configured to crosslink upon exposure to ultraviolet light and a heat source.

上述实施例的特征有利于本技术领域中技术人员理解本发明。本技术领域中技术人员应理解可采用本发明作基础,设计并变化其它制程与结构以完成上述实施例的相同目的和/或相同优点。本技术领域中技术人员也应理解,这些等效置换并未脱离本发明构思与范围,并可在未脱离本发明的构思与范围的前提下进行改变、替换、或变动。The features of the above-described embodiments are helpful for those skilled in the art to understand the present invention. It should be understood by those skilled in the art that other processes and structures can be designed and changed using the present invention as a basis to achieve the same purpose and/or the same advantages of the above embodiments. Those skilled in the art should also understand that these equivalent replacements do not depart from the spirit and scope of the present invention, and can be changed, replaced, or changed without departing from the spirit and scope of the present invention.

Claims (1)

1. A method of forming a semiconductor structure, comprising:
forming a bottom layer on a semiconductor substrate, wherein the bottom layer comprises a polymer bonded to a first cross-linking agent and a second cross-linking agent, wherein the first cross-linking agent is configured to be ultraviolet activated, and wherein the second cross-linking agent is configured to be thermally activated at a first temperature;
exposing the substrate to an ultraviolet source to activate the first crosslinker to form an exposed substrate; and
baking the exposed underlayer to activate the second crosslinker.
CN201910575179.6A 2018-06-28 2019-06-28 Method of forming a semiconductor structure Pending CN110660651A (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862691075P 2018-06-28 2018-06-28
US62/691,075 2018-06-28
US16/414,648 US11442364B2 (en) 2018-06-28 2019-05-16 Materials and methods for forming resist bottom layer
US16/414,648 2019-05-16

Publications (1)

Publication Number Publication Date
CN110660651A true CN110660651A (en) 2020-01-07

Family

ID=69028726

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201910575179.6A Pending CN110660651A (en) 2018-06-28 2019-06-28 Method of forming a semiconductor structure

Country Status (1)

Country Link
CN (1) CN110660651A (en)

Similar Documents

Publication Publication Date Title
TWI798185B (en) Method for lithography patterning
CN108807150B (en) Manufacturing method of semiconductor device
TWI737856B (en) Method for lithography patterning
US9406526B2 (en) Method for patterning contact openings on a substrate
TW201800861A (en) Lithography patterning method
US8084185B2 (en) Substrate planarization with imprint materials and processes
US20230359124A1 (en) Materials and methods for forming resist bottom layer
TWI793079B (en) Method of semiconductor device fabrication
TWI748007B (en) Method for lithography patterning
TWI737782B (en) Method for lithography patterning
TWI736961B (en) Manufacturing method of semiconductor device
CN108121154A (en) Method of photolithographic patterning
TWI754801B (en) Methods for fabricating semiconductor devices
US20230274940A1 (en) Method to form narrow slot contacts
CN110660651A (en) Method of forming a semiconductor structure
CN110824845A (en) semiconductor process
CN108962728A (en) Method for manufacturing integrated circuit
TW202238276A (en) Lithography method
TW202117447A (en) Negative tone photoresist and developing method thereof

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20200107

WD01 Invention patent application deemed withdrawn after publication