CN110660651A - Method for forming semiconductor structure - Google Patents

Method for forming semiconductor structure Download PDF

Info

Publication number
CN110660651A
CN110660651A CN201910575179.6A CN201910575179A CN110660651A CN 110660651 A CN110660651 A CN 110660651A CN 201910575179 A CN201910575179 A CN 201910575179A CN 110660651 A CN110660651 A CN 110660651A
Authority
CN
China
Prior art keywords
cross
layer
crosslinker
linking agent
ultraviolet
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201910575179.6A
Other languages
Chinese (zh)
Inventor
黄景弘
王建惟
张尚文
张庆裕
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/414,648 external-priority patent/US11442364B2/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN110660651A publication Critical patent/CN110660651A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Materials For Photolithography (AREA)

Abstract

The method includes forming an underlayer on a semiconductor substrate, where the underlayer includes a polymer bonded to a first crosslinker and a second crosslinker, the first crosslinker configured to be ultraviolet activated and the second crosslinker configured to be thermally activated at a first temperature. The method then exposes the bottom layer to an ultraviolet source to activate the first crosslinker, thereby forming an exposed bottom layer. The method further includes baking the exposed underlayer, wherein the baking step activates the second crosslinker.

Description

Method for forming semiconductor structure
Technical Field
Embodiments of the present invention generally relate to methods of forming integrated circuit devices, and more particularly, to methods and materials for forming an underlayer of photoresist.
Background
The semiconductor integrated circuit industry has experienced rapid growth. Technological advances in body circuit materials and design have resulted in each generation of integrated circuits having smaller and more complex circuits than the previous generation. However, these advances have increased the complexity of processing and forming integrated circuits. To achieve these advances, processes for processing and forming integrated circuits have also been developed in a similar manner. In the evolution of integrated circuits, the functional density (the number of interconnected devices per unit area of a chip) generally increases as the geometries (the smallest features that can be created by the fabrication process employed) shrink. As the size of the photolithographic structures shrinks, a greater number of aperture processes are required to overcome the resolution limitations.
The photolithographic triple-layer structure has a photosensitive top layer formed on at least one bottom layer, which is used to solve some problems associated with the photolithographic patterning process. Although the method of forming the three-layer structure is suitable for general applications, it is not completely suitable in all aspects. For example, a light source that does not fully cure the underlying layer may result in inconsistent etch rates when transferring the final pattern of the underlying layer to the underlying substrate. There is therefore still a need to improve on this problem.
Disclosure of Invention
The method for forming a semiconductor structure provided by an embodiment of the invention includes: forming an underlayer on a semiconductor substrate, wherein the underlayer comprises a polymer bonded to a first crosslinker and a second crosslinker, wherein the first crosslinker is configured to be ultraviolet activated, and wherein the second crosslinker is configured to be thermally activated at a first temperature; exposing the bottom layer to an ultraviolet source to form an exposed bottom layer, wherein exposing the bottom layer to ultraviolet activates the first crosslinker; and baking the exposed underlayer to activate the second crosslinker.
The method provided by one embodiment of the invention comprises the following steps: spin-coating a material layer on the semiconductor substrate, wherein the material layer comprises a polymer coupled to at least one ultraviolet cross-linking agent and at least one thermal cross-linking agent, and wherein an amount of the ultraviolet cross-linking agent in the material layer is greater than an amount of the thermal cross-linking agent in the material layer; exposing the material layer to a first uv source having a first wavelength to form an exposed material layer, wherein the step of exposing the material layer to the first uv source induces crosslinking of the uv crosslinker; thermally curing the exposed material layer to form a cured material layer, wherein the step of thermally curing induces crosslinking of the thermal crosslinker; and forming a photoresist layer on the cured material layer.
The method provided by one embodiment of the invention comprises the following steps: forming an underlayer on a semiconductor substrate, the underlayer comprising a polymer, a first crosslinker configured to crosslink upon exposure to ultraviolet light, and a second crosslinker configured to crosslink upon exposure to a heat source at a first temperature, wherein the first crosslinker and the second crosslinker are bonded to the polymer; exposing the bottom layer to a first heat source at a second temperature, the second temperature being lower than the first temperature; after exposing the underlayer to a first heat source, exposing the underlayer to ultraviolet rays and inducing crosslinking of the first crosslinking agent to form an exposed underlayer; exposing the bottom layer to a second heat source at a third temperature to form a cured bottom layer, wherein the third temperature is higher than the first temperature; forming an intermediate layer on the cured bottom layer; and forming a photoresist layer on the intermediate layer.
Drawings
FIGS. 1A and 1B are flow charts of methods of fabricating semiconductor devices according to various embodiments of the present invention.
Fig. 2, 3, 4, 5, 9, 10, 11, 12, 13, 14A, and 14B are cross-sectional views of a semiconductor device at various steps of the method of fig. 1A and 1B, in various embodiments of the present invention.
FIGS. 6, 7, and 8 are chemical structures in various embodiments of the invention.
Description of the reference numerals
100 method
102. 104, 106, 108, 110, 112, 114, 116, 118, 120
200 workpiece
202 substrate
204. 204A, 204B, 204C bottom layer
206 middle layer
208 photoresist layer
212 exposed area
214 unexposed area
216 rays
218 pattern
220 photo mask
222 developer
230. 234 baking process
232 ultraviolet exposure process
300 Polymer
304. 306, 308 crosslinking agent
320 polymer backbone
330 polymer network.
Detailed Description
It is to be understood that the following provides different embodiments, or examples, for implementing different features of the invention. The following embodiments of specific components and arrangements are provided to simplify the present disclosure and not to limit the same. For example, the formation of a first element on a second element encompasses embodiments in which the two are in direct contact, or embodiments in which the two are separated by additional elements other than direct contact. In addition, structures of embodiments of the present invention may be formed on, connected to, and/or coupled to another structure, a structure may be in direct contact with another structure, or additional structures may be formed between the structure and the other structure (i.e., a structure does not contact the other structure). Moreover, various instances of the invention may be repeated with like reference numerals for the sake of brevity, but elements having like reference numerals in the various embodiments and/or arrangements do not necessarily have the same correspondence.
In addition, structures of embodiments of the present invention may be formed on, connected to, and/or coupled to another structure, which may be in direct contact with the other structure, or additional structures may be formed between the structures and the other structure. Moreover, spatially relative terms such as "below," "lower," "above," "upper," or the like may be used for ease of description to describe one element's relative relationship to another element in the figures. Spatially relative terms may be extended to components used in other orientations than the orientation illustrated. Furthermore, when a value or range of values is described as "about", "approximately", or the like, it comprises +/-10% of the stated value, unless otherwise specified. For example, the term "about 5 nm" includes a size range between 4.5nm and 5.5 nm.
Embodiments of the present invention generally relate to methods of forming integrated circuit devices, and more particularly, to methods and materials for forming an underlayer of photoresist. Many photoresists for patterning semiconductor substrates are multilayer structures that include at least a bottom layer (e.g., bottom antireflective coating) on the substrate and a top layer (e.g., photosensitive top layer) on the bottom layer. The photoresist may optionally further comprise other material layers, such as at least one intermediate layer (e.g., a hard mask layer) between the bottom layer and the top layer. The use of multiple layers of photoresist in a lithographic process has proven advantageous, for example, in minimizing the reflection of radiation (e.g., light) from the substrate and improving etch selectivity between the various underlying layers. However, there is still a need to improve many aspects of multilayer photoresists for advanced patterning processes. For example, it has been found that crosslinking a polymeric material with ultraviolet light to form an underlayer can result in inconsistent curing (e.g., crosslinking) upon exposure to ultraviolet light. The effect of non-uniform curing is particularly prevalent when the semiconductor substrate contains structures (e.g., conductive structures, spacers, cores, or the like) having spaces smaller than the wavelength of ultraviolet light. As a result, after the top layer of patterning and photoresist is formed and the pattern is transferred to the bottom layer, the uncured portions of the bottom layer may not be properly etched, resulting in pattern quality damage. As described in the examples below, the incorporation of uv-activated crosslinkers and heat-activated crosslinkers in the primer layer improves the degree of cure of the primer layer after exposing the primer layer to uv light and heat which activate the respective crosslinkers.
FIGS. 1A and 1B are flow diagrams of a method 100 for processing a workpiece 200 in accordance with some embodiments of the present invention. The method 100 is provided by way of example only and is not intended to limit the invention to those portions of the claims which are not actually recited. Additional steps may be provided before, during, and after the method 100, and additional embodiments of the process may replace, omit, or exchange some of the described steps. Intermediate steps of the method 100 will be described with reference to the cross-sectional illustrations of the workpiece 200 shown in fig. 2-5 and 9-14B, and the chemical structures and reactions are shown in fig. 6-8. Some of the elements of the drawings may be simplified to simplify the description.
As shown in fig. 1A and 2, step 102 of the method 100 provides a substrate 202. The substrate 202 may include a semiconductor element (single element) such as silicon of a crystalline structure and/or germanium of a crystalline structure; semiconductor compounds such as silicon carbide, gallium arsenide, gallium phosphide, indium arsenide, and/or indium antimonide; semiconductor alloys such as silicon germanium, gallium arsenide phosphide, aluminum indium arsenide, aluminum gallium arsenide, gallium indium phosphide, and/or gallium indium phosphide; non-semiconductor materials such as soda lime glass, fused silica, fused quartz, and/or calcium fluoride; and/or combinations of the foregoing.
The substrate 202 may be a single layer of material having a uniform composition. In other embodiments, the substrate 202 may comprise multiple layers of materials having similar or different compositions suitable for forming integrated circuit devices. In one example, the substrate 202 may be a silicon-on-insulator substrate having a semiconductor silicon layer formed on a silicon oxide layer. In another example, the substrate 202 may include conductive layers, semiconductor layers, dielectric layers, other layers, and/or combinations thereof. In some embodiments, the substrate 202 may be a silicon wafer having a substantially flat surface. In some embodiments, the substrate 202 may include structures such as spacers or cores that may be patterned and removed in subsequent steps to include additional processing steps.
The substrate 202 may include various circuit structures formed thereon or therein, such as field effect transistors, metal oxide semiconductor field effect transistors, complementary metal oxide semiconductor transistors, high voltage transistors, high frequency transistors, bipolar junction transistors, diodes, resistors, capacitors, inductors, varactor diodes, other suitable devices, and/or combinations thereof. In some examples, the substrate 202 may include a plurality of three-dimensional active regions or fins, a plurality of gate structures, and/or a plurality of spacers or cores.
In some embodiments in which the substrate 202 comprises a field effect transistor, various doped regions, such as source/drain regions, may be formed in or on the substrate 202. The doped region may be doped with an n-type dopant, such as phosphorus or arsenic, and/or a p-type dopant, such as boron or boron difluoride, depending on design requirements. The doped regions may be planar or non-planar (such as in a finfet), and may be formed directly on the substrate 202, in a p-well structure, in an n-well structure, in a double-well structure, or using a raised structure. The doped regions may be formed by implanting dopant atoms, epitaxial growth with in-situ doping, and/or other suitable techniques.
As shown in fig. 1A and 2, step 104 of the method 100 forms a bottom layer 204 (or first layer) on a substrate 202. In many embodiments, the bottom layer 204 is a bottom anti-reflective coating material that is configured to minimize reflection from the radiation source when a subsequently formed photoresist layer (e.g., the photoresist layer 208 of FIG. 4) is exposed. As shown in fig. 6, the bottom layer 204 comprises a polymer 300 having a polymer backbone 320 bonded to a plurality of crosslinking components (hereinafter crosslinkers 304, 306, and/or 308). Crosslinkers 304, 306, and/or 308 may be activated to crosslink with adjacent crosslinkers in response to one or more external stimuli. In many embodiments, polymer 300 comprises crosslinking agent 304 bonded to polymer backbone 320, and comprises one or both of crosslinking agents 306 and 308.
In particular, the polymer backbone 320 can comprise an acrylate-based polymer, a copolymer of norbornene and maleic anhydride, a polyhydroxystyrene-based polymer, other suitable polymers, or combinations thereof, having any number of functional groups to facilitate one or more subsequent exposure and development processes. In one example, the functional group can comprise a photolithographically sensitive group (sensitizer) such as phenol, styrene, fluoride, and/or other suitable groups. In many embodiments, the functional substrate contains acid-sensitive groups that are configured to be cleavable from the polymer backbone by an acidic moiety.
Crosslinker 304 is a uv-activated crosslinker configured to be activated by a uv source. In other words, the cross-linking agent can be cross-linked with another cross-linking agent when irradiated with an ultraviolet source having a wavelength of about 160nm to about 300nm, and the ultraviolet is set to initiate the cross-linking reaction of the cross-linking agent 304. It will be appreciated that these wavelength ranges are not so limited and depend on the chemical composition of the crosslinking agent 304, and that other wavelengths of uv light sources may be employed. In some embodiments, once the crosslinking agent 304 is irradiated with ultraviolet light, it may be crosslinked with another similar crosslinking agent. In other embodiments, once the crosslinking agent 304 is irradiated with ultraviolet light, it may be crosslinked with a different crosslinking agent.
The crosslinking agent 304 may comprise the following structure: h2C ═ CH-R-, and R refers to the portion of the crosslinker bonded to the polymer backbone 320. In many embodiments, R is a pi bond-containing functional group disposed with H2C ═ CH-conjugation. Non-limiting examples of R include- (C ═ O) -, - (C ═ O) -O-, -CH ═ CH-, phenyl, phenol, other suitable functional groups, or combinations of the above. In many embodiments, the wavelength of the UV source can activate crosslinker 304, depending on the chemical composition of R in crosslinker 304. As such, the chemical composition of the crosslinking agent 304 is selected depending on the wavelength of stable ultraviolet light available. Conversely, the choice of uv source depends on the chemical composition of R in the one or more crosslinkers 304 bonded to the polymer backbone 320. H of the crosslinking agent 304 upon irradiation with ultraviolet rays2The C ═ CH-moiety forms-H through an addition reaction with another similar or different crosslinking agent bonded to a different polymer backbone 3202C-CH- (e.g., a carbon-carbon covalent bond) to form a carbon-based network of polymer backbones 320 linked by the cross-linking agent 304.
Crosslinker 306 is different from crosslinker 304 and is a heat-activated crosslinker (a crosslinker that is configured to be activated by a heat source). In other words, the cross-linking agent 306 may cross-link with another cross-linking agent upon exposure to a heat source. The cross-linking agent 306 is configured to activate when a critical temperature is reached, depending on its particular chemical composition. If the temperature is below the critical temperature, the thermal energy applied to the crosslinking agent 306 is insufficient to initiate the breaking and formation of chemical bonds. The crosslinking agent 306 may be crosslinked with another crosslinking agent of similar chemical composition. In other embodiments, the cross-linking agent 306 may be cross-linked with another cross-linking agent of a different chemical composition. The crosslinking agent 306 can include any suitable functional group such as phenyl, alkyl-substituted phenyl, epoxy, hydroxyl, ether, ester, phenolic resin, other suitable functional groups, or combinations of the above. In some examples, the critical temperature of the crosslinking agent 306 may be between about 150 ℃ to about 250 ℃, depending on the particular chemistry of the crosslinking agent 306. Other critical temperatures may be practiced with embodiments of the present invention depending on the particular chemistry of the crosslinking agent 306.
Crosslinker 308 is a uv-thermal hybrid crosslinker configured to be activated by a uv source, a heat source, or both. In other words, the crosslinking agent 308 can crosslink with another crosslinking agent upon irradiation with an ultraviolet source, and/or can crosslink with another crosslinking agent upon heating above the critical temperature of the crosslinking agent 308. Thus, in the depicted embodiment, the cross-linking agent 308 may be cross-linked with another cross-linking agent 308, cross-linking agent 304, or cross-linking agent 306. For example, the cross-linking agent 308 is configured to bond with the cross-linking agent 304 (e.g., a UV activated cross-linking agent) during a UV exposure process. In other embodiments, the crosslinking agent 306 may be configured to bond with the crosslinking agent 306 (e.g., a thermally activated crosslinking agent).
The crosslinking agent 308 may have the following structure:
Figure BDA0002111877150000071
wherein R may include- (C ═ O) -, - (C ═ O) -O-, -CH ═ CH-, phenyl, phenolic, other suitable functional groups, or combinations of the foregoing. X is set as the portion to be thermally activated. X can be an alkyl chain (e.g., (-CH)2–)nWherein n is between 2 and 6, other alkyl structuresOr combinations thereof), aromatic rings (e.g., benzene, phenol, aniline, toluene, xylene, other aromatic structures, or combinations thereof), heteroaromatic rings (e.g., furan, thiophene, pyridine, indole, other heteroaromatic rings, or combinations thereof), other suitable functional groups, or combinations thereof. The crosslinking agent 308 can be activated by an ultraviolet source, and the wavelength (or range of wavelengths) of the ultraviolet light can initiate H of the crosslinking agent 3082Chemical reaction in the C ═ CH-R-moiety (similar to crosslinker 304). In additional or other embodiments, the crosslinking agent 308 may be activated by a heat source that increases to above the critical temperature of the crosslinking agent 308 to initiate a chemical reaction in the X portion of the crosslinking agent 308.
Once the cross-linking agent 306 is thermally activated, it will form a covalent bond (e.g., cross-link) with another cross-linking agent, similar or different, that is bonded to a different polymer backbone 320, and may generate one or more reaction byproducts. The bottom layer 204 may shrink because these byproducts (e.g., evaporation byproducts) are eliminated from the bottom layer 204 once the crosslinking reaction is complete. For example, the byproducts include small molecule species such as methanol, ethanol, water, or the like, which evaporate during the condensation-based crosslinking reaction, inducing shrinkage of the bottom layer 204. However, UV-activated crosslinking reactions, such as those associated with crosslinking agent 304, produce little or no by-products (very little compared to the by-products produced by the reaction of crosslinking agent 306). Rather, it forms a carbon-carbon (e.g., carbon single bond) network that shrinks only slightly or not upon completion of the crosslinking reaction. In view of the above, to avoid membrane collapse and/or lack of uniformity in membrane thickness, the polymer 300 comprises an amount of crosslinking agent 304 and/or 308 that is greater than the amount of crosslinking agent 306. In one example, the amount of cross-linking agent 306 included in the bottom layer 204 can be between about 25% and about 67% of the amount of cross-linking agent 304 and/or cross-linking agent 308. If the amount of cross-linking agent 306 is greater than about 67%, it may cause or result in film collapse of the bottom layer 204. If the amount of cross-linker 306 is less than about 25%, the polymer 300 may not be fully cured at its bottom absent uv exposure.
As shown in fig. 1A and 2, the bottom layer 204 may be formed by spin-coating the polymer 300 on the top surface of the substrate 202 (or on the top surface of the topmost material layer of the multi-layer substrate 202). The spin coating process may use centrifugal force to disperse the liquid phase polymer 300 to a uniform thickness over the entire upper surface of the substrate 202. The polymer 300 is soluble in a solvent for implementation and application of the polymer 300. Upon removal of the solvent, a solid or semi-solid bottom layer 204 (e.g., a film) may be formed. The solvent may be one or more of the following: propylene glycol methyl ether acetate, propylene glycol methyl ether, gamma butyrolactone, ethyl lactate, cyclohexanone, ethyl ketone, dimethylformamide, an alcohol (such as isopropanol or ethanol), other suitable solvents, or combinations of the foregoing. The solvent may be removed during a portion of the spin-on process, during the deposition process, and/or during a subsequent baking process.
As shown in fig. 1A and 3, step 106 of the method 100 performs a baking process 230 on the workpiece 200 to form a baked bottom layer 204A. The temperature of the baking process 230 is below the critical temperature of the cross-linking agent 306 and/or the cross-linking agent 308, so that the cross-linking agent is not activated to form chemical bonds with (or to break chemical bonds with) adjacent cross-linking agents. Conversely, the baking process 230 may be configured to reduce the induced stress and/or strain during the spin-on process to facilitate thermal reflow to achieve planarity (e.g., smooth top surface) of the underlayer 204 and/or to facilitate underfill (e.g., gap-fill) of the underlayer 204 on the structure of the substrate 202. In one example, the temperature of the baking process 230 is between about 50 ℃ and about 200 ℃. Other temperatures may be used to perform the baking process 230. For example, any temperature below about 50 ℃ may not provide sufficient thermal energy to the polymer 300, and thus, not cause the upper surface of the bottom layer 204 to generate thermal reflow. In some embodiments, the baking process 230 may be omitted and the method 100 may perform an ultraviolet exposure process as described below.
As shown in fig. 1A, 4, and 7, step 108 of the method 100 subjects the workpiece 200 to an ultraviolet exposure process 232 to form an exposed bottom layer 204B comprising a polymer network 330. As shown in fig. 7, once the uv exposure process 232 is performed, the cross-linking agents 304 and 308 (e.g., uv-activated portions thereof) bonded to one polymer backbone 320 will cross-link (e.g., form covalent bonds) with the cross-linking agents 304 and/or 308 bonded to a different polymer backbone 320. In other words, the cross-linking agents 304 and/or 308 cross-link the two polymer backbones 320 to form the polymer network 330. In the present examples, the covalent bonds are represented by dashed lines to distinguish them from the chemical bonds between each crosslinker and its polymer backbone. In many embodiments, the crosslinking agent 304 may crosslink with another crosslinking agent 304, or instead crosslink with the crosslinking agent 308 (e.g., a uv-activated portion thereof). Similarly, the cross-linking agent 308 may be cross-linked with another cross-linking agent 308, or cross-linked with the cross-linking agent 304 instead.
The wavelength of the ultraviolet light at which step 108 is performed may depend on the chemical composition of the crosslinking agent 304 and/or 308. In particular, the composition of the functional groups R in the crosslinking agents 306 and/or 308 may determine the UV wavelength at which step 108 is performed. In some embodiments, the wavelength range is between about 160nm to about 300 nm. The stability of the different uv sources used to generate the different wavelengths may vary and may affect the quality of the exposure process. As such, the wavelength corresponding to the stable UV source in some embodiments may be a parameter for selecting the particular functional group R included in the crosslinking agent 304 and/or 308.
In some instances, the degree of ultraviolet exposure may not be uniform throughout the thickness of the bottom layer 204B. For example, if the substrate 202 includes a structural space less than the uv wavelength, the uv-activated cross-linker in the bottom of the underlayer 204B may not be sufficiently activated. Incomplete crosslinking (or curing) may affect the quality of the bottom layer 204B and the subsequent step of etching the bottom layer 204B. The present invention provides a method for performing a thermal curing process after the UV exposure process 232 to provide additional cross-linking in the underlayer 204B.
As shown in fig. 1A, 5, and 8, step 110 of the method 100 applies a bake process 234 to the workpiece 200 to thermally cure the polymer network 330 to form the bottom layer 204C. In many embodiments, the baking process 234 can heat-activate the cross-linking agent 306 included in the polymer network 330. As shown in fig. 8, during the baking process (or curing process) 234, the cross-linking agents 306 and 308 (e.g., heat-activated portions thereof) bonded to one polymer backbone 320 may cross-link (e.g., form covalent bonds) with the cross-linking agents 306 and/or 308 bonded to a different polymer backbone 320. In many embodiments, the crosslinking agent 306 may crosslink with another crosslinking agent 306, or instead crosslink with a crosslinking agent 308 (a thermally activated portion thereof). Similarly, the cross-linking agent 308 may be cross-linked with another cross-linking agent 308, or cross-linked with the cross-linking agent 306 instead. In some embodiments (although not shown), the cross-linking agent 308 may form a uv activated cross-link with the cross-linking agent 304 during the uv exposure process 232, and may then form a heat activated cross-link with the cross-linking agent 306 during the baking process 234. In some embodiments, the substrate 202 is heated to perform the baking process 234 such that the bottom portion of the bottom layer 204C near or in contact with the substrate 202 is heated to a greater degree than the top portion of the bottom layer 204C. As such, the bottom of the bottom layer 204C that is difficult to reach for uv curing in step 108 (because the uv irradiation is set from top to bottom) may be thermally cured, e.g., cross-linked, to ensure that the degree of curing in the bottom layer 204C is maximized throughout the entire thickness region. In some embodiments, the baking process 234 is performed at a temperature above a critical temperature required to activate the thermally activated portion of the crosslinking agent 308 and/or the crosslinking agent 306. In some examples, the baking process 234 may be performed at a temperature between about 150 ℃ and about 250 ℃, depending on the particular chemical composition of the cross-linker 306 and/or the cross-linker 308. Other temperatures or other temperature ranges may also be used.
As shown in fig. 1B and 9, step 112 of method 100 forms an intermediate layer 206 (or second layer) on the bottom layer 204. The intermediate layer 206 may be a single-layer structure, or a multi-layer structure each having a different composition. In many embodiments, the composition of the intermediate layer 206 may provide desired antireflective properties and/or hardmask properties for subsequently performed photolithography processes. The intermediate layer 206 may be formed by spin coating a solution containing a suitable polymer dissolved in a solvent to form the intermediate layer 206 on the bottom layer 204. The spin coating process may be similar to the spin coating process described above in step 104. In some embodiments, step 112 may be omitted.
As shown in fig. 1B and 10, step 114 of method 100 forms a photoresist layer 208 on intermediate layer 206. The photoresist layer 208 may be a photosensitive layer that may be patterned by an exposure process, and the exposure induces a series of photochemical reactions in the photoresist layer 208. The photoresist layer 208 can comprise any suitable photosensitive photoresist material, and in many embodiments the photoresist material comprised by the photoresist layer 208 is sensitive to a radiation source (e.g., ultraviolet, deep ultraviolet, and/or extreme ultraviolet). However, the principles of the present invention are applicable to materials for e-beam resists and other direct-write resists. The photoresist layer 208 may have a single-layer structure or a multi-layer structure. In many embodiments, the areas of the photoresist layer 208 exposed to the radiation undergo a chemical reaction, such as decomposition, so that the areas exposed to the radiation become soluble in a developing solution. In other embodiments, the exposed portions of the photoresist layer 208 undergo a chemical reaction, such as polymerization and/or crosslinking, and thus the exposed portions become insoluble in a developing solution.
In many embodiments, the photoresist layer 208 comprises a polymer having a backbone (not shown) with a plurality of functional groups (not shown) attached to the backbone. The polymer backbone may comprise an acrylate-based polymer, a copolymer of norbornene and maleic anhydride, a polyhydroxystyrene-based polymer, other suitable polymers, or combinations thereof, having any number of functional groups to facilitate one or more subsequent exposure and development processes. In one example, the functional group can comprise a photolithographically sensitive group (sensitizer) such as phenol, styrene, fluoride, and/or other suitable groups. In another example, the functional group can comprise an acid-labile group that is configured as a group that can be cleaved from the polymer backbone by an acidic component.
Further, the photoresist layer 208 may be implemented as a chemically amplified photoresist material that includes a photosensitive component in the photoresist material composition. For example, the photoresist layer 208 includes one or more photoacid generators that can generate an acidic component in response to radiation exposure. Non-limiting examples of suitable photoacid generators include sulfonium cation salts with sulfonates, iodonium salts with sulfonates, sulfone azo methane compounds, N-sulfoximine photoacid generators, benzoin sulfonate photoacid generators, pyrogallol trisulfonate photoacid generators, nitrobenzyl sulfonate photoacid generators, sulfone photoacid generators, glyoxime derivatives, triphenylsulfonium perfluorobutylsulfonate, and/or other suitable photoacid generators now known or later developed. The photoresist layer 208 may additionally or alternatively include other photosensitive components such as photobase, photobase generators, photobase quenchers, other photosensitive components, or combinations thereof. The photoresist layer 208 may also include various additives such as a crosslinker (e.g., a tetramethylolglycoluril crosslinker or an epoxy crosslinker), a surfactant, a chromophore, and/or a solvent. The photoresist layer 208 may be applied by any suitable technique, such as the spin-on process described above. The method 100 may perform a pre-exposure bake process to evaporate any solvent remaining during the spin-on process.
As shown in fig. 1B and 11, step 116 of method 100 exposes photoresist layer 208 with radiation 216. In many embodiments, the radiation 216 may be I-line (wavelength near 365nm), deep ultraviolet such as krypton fluoride excimer laser (wavelength near 248nm) or argon fluoride excimer laser (wavelength near 193nm), extreme ultraviolet (wavelength between about 1nm and about 100 nm), x-ray, electron beam, ion beam, and/or other suitable radiation.
The exposure process of step 110 may be performed in an atmosphere, in a liquid (immersion lithography), or in a vacuum (e.g., extreme ultraviolet lithography and electron beam lithography). In the illustrated embodiment, the method 100 implements a lithography technique using a photomask 220, and the photomask 220 includes the pattern 218. The mask 220 may be a transmissive mask or a reflective mask, which may further employ resolution enhancement techniques such as phase shifting, off-axis illumination, and/or optical proximity correction, respectively. In other embodiments, the radiation 216 is directly modulated in a predetermined pattern, such as an integrated circuit layout, without using the photomask 220 (e.g., using an e-beam writer). In one embodiment, the radiation 216 is extreme ultraviolet radiation, and the exposure process of step 110 is performed in an extreme ultraviolet lithography system. In contrast, a reflective photomask 220 may be used to pattern the photoresist layer 208. In many embodiments, the wavelength of the radiation 216 is different from the ultraviolet wavelength of the ultraviolet exposure process 232. In embodiments where the radiation 216 is extreme ultraviolet radiation, the wavelength of the radiation 216 is less than the ultraviolet wavelength of the ultraviolet exposure process performed in step 108.
Thereafter, as shown in FIGS. 1B and 12, the exposed areas 212 of the photoresist layer 208 undergo a photochemical reaction while the unexposed areas of the photoresist layer 208 remain substantially the same as the photoresist material prior to exposure. In some embodiments, the material in the exposed areas 212 decomposes and becomes soluble in a developing solution. In other embodiments, the material in the exposed regions 212 of the photoresist layer 208 polymerizes and/or crosslinks and becomes insoluble in a developing solution. In such embodiments, the photoresist material prior to exposure is chemically amplified, such that a chemical reaction resulting from the exposure process is initiated by one or more photosensitive components, which then triggers a subsequent reaction of the material in the exposed areas.
As shown in fig. 1B and 13, step 118 of method 100 performs a development process on workpiece 200. The development process may dissolve or remove either the exposed regions 212 (as shown in fig. 14B) or the unexposed regions 214 (as shown in fig. 14A), depending on the particular chemical change that occurs during the exposure process in step 110 and the nature of the developer. Suitable water-based developers include tetramethylammonium hydroxide, potassium hydroxide, sodium hydroxide, and/or other suitable solvents, and suitable organic solvent-based developers include solvents such as n-butyl acetate, ethanol, hexane, benzene, toluene, and/or other suitable solvents. The step of applying the developer 222 may include spraying the developer 222 on the photoresist layer 208 with a spin-on process. The developing process of step 112 may begin with a post-exposure bake process. The post-exposure bake process may catalyze the reaction between the polymer in the photoresist layer 208 and the reacted photosensitive component, depending on the polymer included in the photoresist layer 208.
As shown in fig. 1B, the additional fabrication process performed in step 120 of the method 100 may include transferring the pattern formed in the photoresist layer 208 to the underlying middle layer 206 and bottom layer 204C in one or more etching processes. The etching process may be performed by any suitable method, including a dry etching process, a wet etching process, other suitable etching processes, a reactive ion etching process, or a combination thereof. The substrate 202 may then be processed using the patterned bottom layer 204C as a mask. The substrate 202 may be processed by any suitable method, including a deposition process, an implantation process, an epitaxial growth process, and/or any other fabrication process. In one embodiment, the substrate 202 is etched using the patterned bottom layer 204C as an etch mask. However, embodiments of the present invention may be used for any fabrication process performed on the substrate 202. In various examples, patterned bottom layer 204C may be used as a mask for forming gate stacks, interconnect structures, non-planar devices (e.g., fins formed by etching or epitaxially growing a fin material), and/or other suitable structures in substrate 202. After processing the substrate 202, the patterned photoresist layer 208, the patterned intermediate layer 206, and the patterned bottom layer 204C may be removed from the substrate 202. In some embodiments, patterned bottom layer 204C and patterned photoresist layer 208 and/or patterned intermediate layer 206 may be removed together by any suitable process, such as plasma ashing or photoresist stripping. In other embodiments, after the patterned photoresist layer 208 and the patterned intermediate layer 206 are removed from the workpiece 200 by a suitable method, the patterned bottom layer 204C may be removed by an etching process, which may be a dry etching process, a wet etching process, a reactive ion etching process, and/or other suitable etching processes.
In many embodiments, the workpiece 200 may be used to fabricate integrated circuit chips, single chip systems, and/or portions thereof after step 120, such that subsequent fabrication processes may form a variety of passive and active microelectronic devices such as resistors, capacitors, inductors, diodes, mosfet's, cmos transistors, bipolar junction transistors, laterally diffused mos transistors, high power mos transistors, other types of transistors, and/or other circuit elements.
One or more embodiments of the methods, apparatus, and compositions described herein have various advantages. Embodiments of the present invention provide a bottom layer (e.g., bottom anti-reflective coating) formed on a substrate configured to facilitate a lithographic patterning process. In particular, the base layer may comprise a polymer network having bonded thereto at least one ultraviolet crosslinker and at least one thermal crosslinker. In some embodiments, the method of the present invention includes exposing the underlayer to uv light to activate the uv cross-linking agent, followed by exposing the underlayer to heat to activate the thermal cross-linking agent, prior to performing the lithographic patterning process. The photolithographic patterning process includes exposing and developing a photosensitive top layer on a bottom layer. In many embodiments, the inclusion of both a uv-activated crosslinker and a heat-activated crosslinker in the underlayer provides additional crosslinking sites in the polymer network that improve the degree of cure after exposing the underlayer to uv and heat to activate their respective crosslinkers.
In one embodiment of the present invention, a method includes forming an underlayer on a semiconductor substrate, where the underlayer includes a polymer bonded to a first crosslinker and a second crosslinker, the first crosslinker configured to be ultraviolet activated and the second crosslinker configured to be thermally activated at a first temperature. The method further includes exposing the bottom layer to an ultraviolet source to form an exposed bottom layer, wherein exposing the bottom layer to the ultraviolet source activates the first crosslinker. The method also includes baking the exposed underlayer, wherein the baking step activates the second crosslinker.
In some embodiments, the first crosslinking agent comprises H2And wherein R comprises- (C ═ O) -, - (C ═ O) -O-, -CH ═ CH-, phenyl, phenol, or a combination of the foregoing.
In some embodiments, the second crosslinking agent comprises a phenyl group, an alkyl-substituted phenyl group, an epoxy group, a hydroxyl group, an ether, an ester, a phenolic resin, or a combination thereof.
In some embodiments, the baking step is a first baking process, and the method further comprises subjecting the underlayer to a second baking process prior to the step of exposing the underlayer to ultraviolet light, and the second baking process is configured to smooth an upper surface of the underlayer.
In some embodiments, the second baking process is at a temperature lower than the first temperature.
In some embodiments, the temperature of the first baking process is higher than the first temperature.
In some embodiments, the polymer is bonded to a third crosslinker, wherein the third crosslinker is configured to be uv and thermally activated.
In some embodiments, the third crosslinker comprises H2C ═ CH- (C ═ O) -O-X-, and X includes alkyl chains, aromatic rings, heteroaromatic rings, or combinations of the foregoing.
Another embodiment of the present invention provides a method comprising spin coating a material layer on a semiconductor substrate, wherein the material layer comprises a polymer coupled to at least one ultraviolet cross-linking agent and at least one thermal cross-linking agent, and wherein an amount of the ultraviolet cross-linking agent in the material layer is greater than an amount of the thermal cross-linking agent in the material layer. The method includes exposing the material layer to a first ultraviolet source having a first wavelength to form an exposed material layer, wherein the step of exposing the material layer to the first ultraviolet source induces crosslinking of the ultraviolet crosslinker. The exposed material layer is then thermally cured to form a cured material layer, wherein the step of thermally curing induces crosslinking of the thermal crosslinker. A photoresist layer is then formed on the cured material layer.
In some embodiments, the method further comprises exposing the photoresist layer to a second ultraviolet source having a second wavelength to form an exposed photoresist layer, wherein the second wavelength is different from the first wavelength; and developing the exposed photoresist layer to form a pattern.
In some embodiments, the second wavelength is less than the first wavelength.
In some embodiments, the ratio of the amount of thermal cross-linking agent to the amount of ultraviolet cross-linking agent is at least about 25% but not greater than about 67%.
In some embodiments, the method further comprises forming an intermediate layer on the cured material layer prior to forming the photoresist layer.
In some embodiments, the uv cross-linking agent comprises a uv-activated functional group comprising- (C ═ O) -, - (C ═ O) -O-, -CH ═ CH-, phenyl, phenol, or a combination of the foregoing.
In some embodiments, the uv-activated functional group is attached to the polymer via an alkyl chain, an aromatic ring, a heteroaromatic ring, or a combination thereof.
In some embodiments, the uv crosslinker further comprises a functional group configured to be thermally activated.
Another embodiment of the present invention provides a method comprising: an underlayer is formed on a semiconductor substrate, and the underlayer includes a polymer, a first cross-linking agent configured to cross-link upon exposure to ultraviolet light, and a second cross-linking agent configured to cross-link upon exposure to a heat source at a first temperature, where the first cross-linking agent and the second cross-linking agent are bonded to the polymer. The method then exposes the bottom layer to a first heat source at a second temperature, the second temperature being lower than the first temperature. After exposing the underlayer to the first heat source, the underlayer is exposed to ultraviolet rays and cross-linking of the first cross-linking agent is induced to form an exposed underlayer. Exposing the exposed underlayer to a second heat source at a third temperature to form a cured underlayer, wherein the third temperature is higher than the first temperature. The method then forms an intermediate layer on the cured bottom layer; and forming a photoresist layer on the intermediate layer.
In some embodiments, the amount of the second crosslinker is less than the amount of the first crosslinker.
In some embodiments, the polymer further comprises an acrylate-based polymer, a copolymer of norbornene and maleic anhydride, a polyhydroxystyrene-based polymer, or a combination thereof.
In some embodiments, the underlayer further comprises a third crosslinker configured to crosslink upon exposure to ultraviolet light and a heat source.
The features of the above-described embodiments are helpful to those skilled in the art in understanding the present invention. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced above. It should also be understood by those skilled in the art that such equivalent substitutions do not depart from the spirit and scope of the present invention, and that they may make various changes, substitutions, and alterations herein without departing from the spirit and scope of the present invention.

Claims (1)

1. A method of forming a semiconductor structure, comprising:
forming a bottom layer on a semiconductor substrate, wherein the bottom layer comprises a polymer bonded to a first cross-linking agent and a second cross-linking agent, wherein the first cross-linking agent is configured to be ultraviolet activated, and wherein the second cross-linking agent is configured to be thermally activated at a first temperature;
exposing the substrate to an ultraviolet source to activate the first crosslinker to form an exposed substrate; and
baking the exposed underlayer to activate the second crosslinker.
CN201910575179.6A 2018-06-28 2019-06-28 Method for forming semiconductor structure Pending CN110660651A (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862691075P 2018-06-28 2018-06-28
US62/691,075 2018-06-28
US16/414,648 2019-05-16
US16/414,648 US11442364B2 (en) 2018-06-28 2019-05-16 Materials and methods for forming resist bottom layer

Publications (1)

Publication Number Publication Date
CN110660651A true CN110660651A (en) 2020-01-07

Family

ID=69028726

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201910575179.6A Pending CN110660651A (en) 2018-06-28 2019-06-28 Method for forming semiconductor structure

Country Status (1)

Country Link
CN (1) CN110660651A (en)

Similar Documents

Publication Publication Date Title
US11822238B2 (en) Extreme ultraviolet photolithography method with developer composition
US10281819B2 (en) Silicon-containing photoresist for lithography
US20230359124A1 (en) Materials and methods for forming resist bottom layer
US20150294878A1 (en) Method for patterning contact openings on a substrate
TWI737856B (en) Method for lithography patterning
TW201946107A (en) Method for forming semiconductor device
TW201937542A (en) Methods of forming semiconductor device structures
TWI600982B (en) Lithographic resist with floating protectant
TWI596653B (en) Methods of fabricating semiconductor devices and photoresists
CN108333866B (en) Method of photolithographic patterning
CN110875175B (en) Method for manufacturing semiconductor device
TWI737782B (en) Method for lithography patterning
CN105990104B (en) Method for manufacturing semiconductor device
CN109471329A (en) The method for carrying out photoetching process
CN110660651A (en) Method for forming semiconductor structure
CN110941148B (en) Method for manufacturing semiconductor device
TWI754801B (en) Methods for fabricating semiconductor devices
TW202238276A (en) Lithography method
KR20210053154A (en) Negative tone photoresist for euv lithography
WO2021252107A1 (en) Method to form narrow slot contacts
CN110824845A (en) Semiconductor manufacturing process

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
WD01 Invention patent application deemed withdrawn after publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20200107