TWI748007B - Method for lithography patterning - Google Patents

Method for lithography patterning Download PDF

Info

Publication number
TWI748007B
TWI748007B TW106141351A TW106141351A TWI748007B TW I748007 B TWI748007 B TW I748007B TW 106141351 A TW106141351 A TW 106141351A TW 106141351 A TW106141351 A TW 106141351A TW I748007 B TWI748007 B TW I748007B
Authority
TW
Taiwan
Prior art keywords
photoresist layer
photoresist
polymer
photoacid generator
substrate
Prior art date
Application number
TW106141351A
Other languages
Chinese (zh)
Other versions
TW201824349A (en
Inventor
張莉琳
張慶裕
林進祥
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201824349A publication Critical patent/TW201824349A/en
Application granted granted Critical
Publication of TWI748007B publication Critical patent/TWI748007B/en

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0046Photosensitive materials with perfluoro compounds, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0397Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having an alicyclic moiety in a side chain
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/095Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having more than one photosensitive layer
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials For Photolithography (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

The present disclosure provides an embodiment of a method for lithography patterning. The method includes coating a photoresist layer over a substrate, wherein the photoresist layer includes a first polymer, and a first photo-acid generator (PAG), and a chemical additive mixed in a solvent; performing an exposing process to the photoresist layer; and performing a developing process to the photoresist layer to form a patterned photoresist layer. The chemical additive has a non-uniform distribution in the photoresist layer.

Description

微影圖案化的方法 Lithographic patterning method

本發明實施例關於半導體裝置的製作方法,更特別關於微影中的光敏膜組成與採用其的方法。 The embodiment of the present invention relates to the manufacturing method of the semiconductor device, and more particularly to the composition of the photosensitive film in the photolithography and the method of using it.

半導體積體電路產業已經歷指數成長。積體電路材料與設計的技術進展,使每一代的積體電路均比前一代具有更小且更複雜的電路。在積體電路的演進中,功能密度(單位晶片面積所具有的內連線裝置數目)通常隨著幾何尺寸(如最小構件或線路)減少而增加。尺寸縮小的製程通常有利於增加產能並降低相關成本。上述尺寸縮小亦增加積體電路之製程複雜度。舉例來說,現有的光微影製程包含塗佈光阻至基板,並以穿過光罩的電磁波曝光光阻。光阻吸收電磁波後產生酸,其可去保護離去基,並使光阻溶於顯影劑中。由於光阻會吸收電磁波,因此光阻底部的電磁波強度低於光阻頂部的電磁波強度。如此一來,光阻底部的去保護反應較少,即底部的溶解速率較低。此機制會造成基腳輪廓,特別是在小孔洞與小溝槽圖案中,因為電磁波強度在上述小尺寸的圖案中更低。基腳輪廓會導致圖案化的光阻其關鍵尺寸不一致,比如蝕刻後偵測的關鍵尺寸。當光阻的圖案轉移至下方的材料層時,基腳區中的光阻作為蝕刻遮罩,並使圖案化的材料層具有不一致的關鍵尺寸。增加光阻溶解度可改善基腳輪廓的相關問題,但這會導致頂部輪廓圓 潤化、降低光阻厚度、並劣化關鍵尺寸一致性。目前亟需光阻與採用光阻的方法以解決上述問題。 The semiconductor integrated circuit industry has experienced exponential growth. The technological progress of integrated circuit materials and design has enabled each generation of integrated circuits to have smaller and more complex circuits than the previous generation. In the evolution of integrated circuits, the functional density (the number of interconnection devices per chip area) generally increases as the geometric size (such as the smallest component or circuit) decreases. The size reduction process is usually beneficial to increase production capacity and reduce related costs. The aforementioned reduction in size also increases the complexity of the manufacturing process of the integrated circuit. For example, the existing photolithography process includes applying a photoresist to a substrate, and exposing the photoresist with electromagnetic waves passing through the photomask. The photoresist absorbs electromagnetic waves and generates acid, which can deprotect the leaving group and dissolve the photoresist in the developer. Since the photoresist absorbs electromagnetic waves, the intensity of the electromagnetic waves at the bottom of the photoresist is lower than the intensity of the electromagnetic waves at the top of the photoresist. In this way, the deprotection reaction at the bottom of the photoresist is less, that is, the dissolution rate at the bottom is lower. This mechanism will cause footing contours, especially in the small hole and small groove pattern, because the electromagnetic wave intensity is lower in the small-sized pattern mentioned above. Footprint outlines will cause the patterned photoresist to have inconsistent key dimensions, such as the key dimensions detected after etching. When the pattern of the photoresist is transferred to the material layer below, the photoresist in the footing area serves as an etching mask and makes the patterned material layer have inconsistent critical dimensions. Increasing the solubility of the photoresist can improve the problems associated with the footing profile, but this will result in a rounded top profile, reduced photoresist thickness, and deterioration of critical dimension consistency. Currently, photoresist and methods using photoresist are urgently needed to solve the above-mentioned problems.

本發明一實施例提供之微影圖案化的方法,包括:塗佈光阻層於基板上,其中光阻層包括第一聚合物、第一光酸產生劑、以及化學添加劑混合於溶劑中,其中化學添加劑在光阻層中的分佈不一致;對光阻層進行曝光製程;以及對光阻層進行顯影製程,以形成圖案化光阻層。 An embodiment of the present invention provides a method for lithography patterning, including: coating a photoresist layer on a substrate, wherein the photoresist layer includes a first polymer, a first photoacid generator, and a chemical additive mixed in a solvent, Among them, the distribution of chemical additives in the photoresist layer is inconsistent; an exposure process is performed on the photoresist layer; and a development process is performed on the photoresist layer to form a patterned photoresist layer.

10‧‧‧光阻 10‧‧‧Photoresist

10’‧‧‧圖案化光阻 10’‧‧‧patterned photoresist

10a‧‧‧曝光部份 10a‧‧‧Exposed part

10b‧‧‧未曝光部份 10b‧‧‧Unexposed part

12‧‧‧聚合物 12‧‧‧Polymer

14‧‧‧光酸產生劑 14‧‧‧Photo acid generator

16‧‧‧酸活性基 16‧‧‧Acid active base

18‧‧‧光敏劑 18‧‧‧Photosensitizer

20‧‧‧淬息劑 20‧‧‧Quenching Agent

22‧‧‧溶劑 22‧‧‧Solvent

24‧‧‧化學添加劑 24‧‧‧Chemical additives

28A、28B、28C、28D‧‧‧化學結構 28A, 28B, 28C, 28D‧‧‧Chemical structure

30‧‧‧溶解添加劑 30‧‧‧Dissolving additives

32‧‧‧第一結構單元 32‧‧‧The first structural unit

34‧‧‧第二結構單元 34‧‧‧Second structural unit

40‧‧‧光酸產生劑添加劑 40‧‧‧Photoacid generator additive

42、44、52、54‧‧‧化學單元 42, 44, 52, 54‧‧‧Chemical Unit

46‧‧‧光敏單元 46‧‧‧Photosensitive unit

50‧‧‧淬息劑添加劑 50‧‧‧Quenching agent additives

56‧‧‧淬息單元 56‧‧‧Quenching Unit

48、58‧‧‧強極性單元 48、58‧‧‧Strong Polarity Unit

60‧‧‧第一聚合物添加劑 60‧‧‧First polymer additive

70‧‧‧第二聚合物添加劑 70‧‧‧Second polymer additive

72‧‧‧第一功能單元 72‧‧‧First functional unit

74‧‧‧第二功能單元 74‧‧‧Second functional unit

100‧‧‧方法 100‧‧‧Method

102、104、106、108、110‧‧‧步驟 102, 104, 106, 108, 110‧‧‧ steps

200‧‧‧半導體結構 200‧‧‧Semiconductor structure

202‧‧‧基板 202‧‧‧Substrate

204‧‧‧下方層 204‧‧‧Lower Floor

204’‧‧‧圖案化硬遮罩 204’‧‧‧Patternized hard mask

第1A圖係本發明一些實施例中,光阻的方塊圖。 Figure 1A is a block diagram of a photoresist in some embodiments of the present invention.

第1B圖係一些實施例中,塗佈於基板上的光阻其剖視圖。 FIG. 1B is a cross-sectional view of the photoresist coated on the substrate in some embodiments.

第2、3、4、5、6、與7圖係一些實施例中,光阻中的化學添加劑其化學結構。 Figures 2, 3, 4, 5, 6, and 7 show the chemical structures of the chemical additives in the photoresist in some embodiments.

第8圖係一些實施例中,微影圖案化方法的流程圖。 Figure 8 is a flow chart of the lithographic patterning method in some embodiments.

第9A、9B、9C、9D、與9E圖係一些實施例中,半導體結構於多種製作階段中的剖視圖。 Figures 9A, 9B, 9C, 9D, and 9E are cross-sectional views of the semiconductor structure in various manufacturing stages in some embodiments.

下述內容提供的不同實施例或實例可實施本發明的不同結構。特定構件與排列的實施例係用以簡化本發明而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸,或兩者之間隔有其他額外構件而非直接接觸。此外,本發明之多種例子中可重複標號及/或符號,但這些重複僅用以簡化與清楚說明,不代表不同實施例及/或設置之間 具有相同標號及/或符號的單元之間具有相同的對應關係。 The different embodiments or examples provided in the following content can implement different structures of the present invention. The embodiments of specific components and arrangements are used to simplify the present invention but not to limit the present invention. For example, the description of forming the first member on the second member includes direct contact between the two, or there are other additional members between the two instead of direct contact. In addition, labels and/or symbols may be repeated in various examples of the present invention, but these repetitions are only used for simplification and clear description, and do not mean that units with the same labels and/or symbols between different embodiments and/or arrangements have The same correspondence.

此外,空間性的相對用語如「下方」、「其下」、「較下方」、「上方」、「較上方」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。元件亦可轉動90°或其他角度,因此方向性用語僅用以說明圖示中的方向。 In addition, spatially relative terms such as "below", "below", "lower", "above", "above", or similar terms can be used to simplify the description of an element and another element in the icon Relative relationship. Spatial relative terms can be extended to elements used in other directions, not limited to the directions shown in the figure. The components can also be rotated by 90° or other angles, so directional terms are only used to describe the directions in the illustration.

本發明關於半導體裝置的製作方法,更特別關於微影中的光敏膜組成與採用其的方法。在微影圖案化中以射線如紫外線、深紫外線、或極紫外線(或其他射線如電子束)曝光光阻膜後,在顯影劑(化學溶液)顯影光阻。顯影劑可移除部份的光阻膜(如正型光阻的曝光部份或負型光阻的未曝光部份),以形成光阻圖案。光阻圖案可包含線路圖案及/或溝槽圖案。在後續蝕刻製程中,光阻圖案可作為蝕刻遮罩,以將圖案轉移至下方的材料層。在其他實施例中,接著可對下方材料層如半導體層進行離子佈植製程,其可採用光阻圖案作為離子佈植遮罩。 The present invention relates to a manufacturing method of a semiconductor device, and more particularly to the composition of a photosensitive film in lithography and a method of using it. After exposing the photoresist film with radiation such as ultraviolet, deep ultraviolet, or extreme ultraviolet (or other radiation such as electron beam) in the photolithography patterning, the photoresist is developed with a developer (chemical solution). The developer can remove part of the photoresist film (such as the exposed part of the positive photoresist or the unexposed part of the negative photoresist) to form a photoresist pattern. The photoresist pattern may include a line pattern and/or a groove pattern. In the subsequent etching process, the photoresist pattern can be used as an etching mask to transfer the pattern to the underlying material layer. In other embodiments, an ion implantation process can then be performed on the underlying material layer, such as a semiconductor layer, which can use a photoresist pattern as an ion implantation mask.

採用化學放大機制的光阻,一般稱作化學放大光阻。第1A圖係一些實施例中,光阻10的方塊圖。當光阻10塗佈至工件如半導體基板上時,光阻10包含多種化學組成混合於溶液中。多種實施例的光阻10其組成將詳述於下。 A photoresist that uses a chemical amplification mechanism is generally called a chemically amplified photoresist. FIG. 1A is a block diagram of the photoresist 10 in some embodiments. When the photoresist 10 is coated on a workpiece such as a semiconductor substrate, the photoresist 10 includes a variety of chemical compositions mixed in a solution. The composition of the photoresist 10 of various embodiments will be described in detail below.

光阻10包含聚合物12,以抵抗半導體製程中的蝕刻或離子佈植。在多種實施例中,聚合物12包含聚降冰片烯-co-馬來酸酐、聚羥基苯乙烯、或丙烯酸酯為主的聚合物。舉例來 說,丙烯酸酯為主的聚合物包含聚甲基丙烯酸甲酯。此外,聚羥基苯乙烯亦對極紫外線敏感,且可作為極紫外線光阻的光敏劑。聚合物12亦包含多個側位,其可與其他化學鍵結至其他化學基團。 The photoresist 10 includes a polymer 12 to resist etching or ion implantation in the semiconductor manufacturing process. In various embodiments, the polymer 12 includes polynorbornene-co-maleic anhydride, polyhydroxystyrene, or acrylate-based polymers. For example, acrylate-based polymers include polymethyl methacrylate. In addition, polyhydroxystyrene is also sensitive to extreme ultraviolet rays and can be used as a photosensitizer for extreme ultraviolet light resists. The polymer 12 also includes multiple side positions, which can be chemically bonded to other chemical groups.

光阻10包含產生酸的化合物,比如光酸產生劑14。光酸產生劑14吸收能量並產生酸。在一些實施例中,光酸產生劑14包含苯環。在特定例子中,光酸產生劑14包含鋶陽離子如三苯鋶基,與陰離子如三氟甲磺酸陰離子。在一些例子中,陽離子包含磺酸基或氟化烷基磺酸基。 The photoresist 10 includes an acid-generating compound, such as a photoacid generator 14. The photoacid generator 14 absorbs energy and generates acid. In some embodiments, the photoacid generator 14 includes a benzene ring. In a specific example, the photoacid generator 14 contains a cation, such as a triphenyl enamel group, and an anion, such as a trifluoromethanesulfonic acid anion. In some examples, the cation contains a sulfonic acid group or a fluorinated alkylsulfonic acid group.

在一些例子中,光阻10更包含酸活性基或溶解抑制劑鍵結至聚合物的主鏈。酸活性基16可產生化學變化以回應酸。舉例來說,在酸存在時將切斷酸活性基團16,因此增加或降低光阻極性。因此在光阻層的曝光區域中,酸產生劑將去保護酸活性基團16。曝光的光阻改變極性與溶解度。舉例來說,曝光的光阻材料在顯影劑中的溶解度增加(對正型光阻而言)或減少(對負型光阻而言)。當微影曝光製程的曝光劑量達到臨界劑量時,曝光的光阻材料將不溶於顯影劑中(或溶於顯影劑中)。在一例中,酸活性基16包含第三丁氧羰基。 In some examples, the photoresist 10 further includes an acid active group or a dissolution inhibitor bonded to the main chain of the polymer. The acid active group 16 can produce a chemical change in response to the acid. For example, the acid active group 16 will be cut in the presence of acid, thus increasing or decreasing the photoresist polarity. Therefore, in the exposed area of the photoresist layer, the acid generator will deprotect the acid active group 16. The exposed photoresist changes polarity and solubility. For example, the solubility of the exposed photoresist material in the developer increases (for positive photoresist) or decreases (for negative photoresist). When the exposure dose of the lithographic exposure process reaches the critical dose, the exposed photoresist material will not dissolve in the developer (or dissolve in the developer). In one example, the acid active group 16 includes a third butoxycarbonyl group.

光阻10可進一步包含光敏劑18以增加光阻材料對光的敏感度與效率。光阻材料中的光酸產生劑可對極紫外線不敏感,但對電子或其他射線如紫外線或深紫外線較敏感。如此一來,搭配光敏劑18的光阻材料對第一射線的敏感度提高。特別的是,光敏劑18對第一射線敏感,並可產生第二射線以回應第一射線。在此實施例中,第一射線為極紫外線,而第二射線 為電子。光敏劑18吸收極紫外線,並產生二次電子。此外,光酸產生劑14對第二電子敏感,可吸收二次電子並產生酸。在多種例子中,光敏劑18包括含氟化學品、含金屬化學品、含酚化學品、或上述之組合。在一些例子中,光敏劑18包含聚羥基苯乙烯、聚氟化苯乙烯、或聚氯化苯乙烯。光敏劑18可鍵結至聚合物12。 The photoresist 10 may further include a photosensitizer 18 to increase the sensitivity and efficiency of the photoresist material to light. The photoacid generator in the photoresist material may not be sensitive to extreme ultraviolet rays, but is more sensitive to electrons or other rays such as ultraviolet rays or deep ultraviolet rays. In this way, the sensitivity of the photoresist material with the photosensitizer 18 to the first rays is improved. In particular, the photosensitizer 18 is sensitive to the first radiation and can generate a second radiation in response to the first radiation. In this embodiment, the first rays are extreme ultraviolet rays, and the second rays are electrons. The photosensitizer 18 absorbs extreme ultraviolet rays and generates secondary electrons. In addition, the photoacid generator 14 is sensitive to second electrons and can absorb secondary electrons and generate acid. In various examples, the photosensitizer 18 includes a fluorine-containing chemical, a metal-containing chemical, a phenol-containing chemical, or a combination thereof. In some examples, the photosensitizer 18 includes polyhydroxystyrene, polyfluorinated styrene, or polychlorinated styrene. The photosensitizer 18 may be bonded to the polymer 12.

在一些實施例中,光阻10可包含其他組成如淬息劑,其為鹼性且可中和酸。淬息劑可取代或搭配其他組成,以抑制光阻的其他活性組成(如光酸產生劑或光酸)進行反應。在一例中,淬息劑20包含氮原子,其未成對電子可中和酸。多種化學組成混合於溶劑22中,可形成光阻溶液以塗佈於工件上。溶劑22可為水性溶劑或有機溶劑。淬息劑20分佈在光阻溶液中,或鍵結至聚合物12。 In some embodiments, the photoresist 10 may include other components such as quenchers, which are alkaline and can neutralize acids. The quenching agent can be substituted or matched with other components to inhibit the reaction of other active components of the photoresist (such as photoacid generator or photoacid). In one example, the quencher 20 contains a nitrogen atom whose unpaired electrons can neutralize the acid. Various chemical compositions are mixed in the solvent 22 to form a photoresist solution for coating on the workpiece. The solvent 22 may be an aqueous solvent or an organic solvent. The quencher 20 is distributed in the photoresist solution or is bonded to the polymer 12.

光阻10亦包含化學添加劑24與其他組成混合於溶劑22中。化學添加劑24設計為改變光阻,且在塗佈於工件上的光阻中具有漸變分佈,因此可調整光阻的成像效果與對應曝光製程的品質。 The photoresist 10 also includes a chemical additive 24 mixed with other components in the solvent 22. The chemical additive 24 is designed to change the photoresist and has a gradual distribution in the photoresist coated on the workpiece, so the imaging effect of the photoresist and the quality of the corresponding exposure process can be adjusted.

在現有的光阻層及相關的光微影製程中,光阻層可吸收來自微影曝光製程的射線並產生酸。酸切斷酸活性基,導致去保護效果並使曝光的光阻層更易溶於顯影劑中。由於光阻吸收射線,光阻層的底部中的射線強度小於頂部中的射線強度。光阻層的底部的去保護效果與溶解速率低於光阻層的頂部。上述現象會造成基腳輪廓,特別是在小孔洞/溝槽的圖案中,進而誘導不一致的關鍵尺寸(或關鍵尺寸不一致的問題)。 In the existing photoresist layer and related photolithography process, the photoresist layer can absorb the radiation from the photolithography process and generate acid. The acid cleaves the acid active group, resulting in a deprotection effect and making the exposed photoresist layer more soluble in the developer. Since the photoresist absorbs rays, the intensity of the rays in the bottom of the photoresist layer is less than the intensity of rays in the top. The deprotection effect and dissolution rate of the bottom of the photoresist layer are lower than that of the top of the photoresist layer. The above phenomenon will cause the contour of the footing, especially in the pattern of small holes/grooves, thereby inducing inconsistent critical dimensions (or inconsistent critical dimensions).

將化學添加劑24加入光阻中,可讓塗佈於工件上的光阻10中的化學添加劑24具有漸變分佈,以補償前述頂部至底部的製程變異,因此可改善微影成像的解析度與圖案化的品質。 Adding chemical additives 24 to the photoresist allows the chemical additives 24 in the photoresist 10 coated on the workpiece to have a gradual distribution to compensate for the aforementioned top-to-bottom process variation, thereby improving the resolution and pattern of photolithography imaging Quality.

化學添加劑的漸變分佈或漸變濃度,將搭配第1B圖中塗佈於基板上的光阻10其剖視圖進一步說明。化學添加劑24自上表面至下表面的濃度並非定值。在一例中,化學添加劑濃度自上表面朝下表面降低,如例示性的曲線25。在圖示中,垂直軸Z指的是與下表面之間的距離,而水平軸指的是光阻層10中的化學添加劑濃度。在另一例中,化學添加劑濃度自上表面朝下表面增加,如例示性的曲線26所示。對曲線26而言,光阻層10其頂部中的化學添加劑濃度小於光阻層10其底部中的化學添加劑濃度。頂部與底部分別為參考中線之上與之下的部份。在此例中,頂部濃度為頂部的平均濃度,而底部濃度為底部的平均濃度。 The gradual distribution or gradual concentration of chemical additives will be further described in conjunction with the cross-sectional view of the photoresist 10 coated on the substrate in Figure 1B. The concentration of the chemical additive 24 from the upper surface to the lower surface is not a fixed value. In one example, the concentration of the chemical additive decreases from the upper surface to the lower surface, as shown in the exemplary curve 25. In the figure, the vertical axis Z refers to the distance from the lower surface, and the horizontal axis refers to the concentration of chemical additives in the photoresist layer 10. In another example, the chemical additive concentration increases from the upper surface to the lower surface, as shown by the exemplary curve 26. For the curve 26, the concentration of the chemical additive in the top of the photoresist layer 10 is less than the concentration of the chemical additive in the bottom of the photoresist layer 10. The top and bottom are the parts above and below the reference center line respectively. In this example, the top concentration is the average concentration at the top, and the bottom concentration is the average concentration at the bottom.

多種實施例的化學添加劑24將進一步詳述如下。 Various embodiments of the chemical additives 24 will be further detailed as follows.

在一實施例中,光阻10中的化學添加劑24為溶解添加劑。溶解添加劑的化學結構設計為具有低表面張力與溶解抑制力。特別的是,溶解添加劑的化學結構具有兩個功能單元鍵結在一起。在一些例子中,第一功能單元為疏水單元如烷基、環烷基、或金剛烷基。在一些其他例子中,第一功能單元可包含第2圖所示的化學結構28A、28B、28C、與28D中的一者。第一功能單元可降低光阻在顯影劑中的溶解度。在一些例子中,第二功能單元為含氟化學品,比如含氟聚合物。第二功能單元 的表面張力低於一些數值(比如此例中的20mN/m),因此溶解添加劑漂浮且在塗佈的光阻層中具有漸變分佈,特別是自光阻層之頂部朝底部減少的濃度(漸變分佈)。溶解添加劑30的一例具有第3圖所示的化學結構,其為第一結構單元32與第二結構單元34鍵結在一起的共聚物。第一結構單元32與第二結構單元34各自具有第一功能與第二功能,對應第一功能單元與第二功能單元。特別的是,第一結構單元32的功能係抑制光阻在顯影劑中的溶解速率,而第二結構單元34的功能係達到漸變分佈。X與Y為合適的整數。溶解添加劑30設計為具有合適的X與Y,以符合較佳的光阻成像品質所需的標準。舉例來說,溶解添加劑的分子量大於4000,且進一步大於聚合物12的分子量。如此一來,溶解添加劑具有漸變分佈,特別是自光阻上表面的較高濃度朝光阻下表面的較低濃度漸變。 In one embodiment, the chemical additive 24 in the photoresist 10 is a dissolving additive. The chemical structure of the dissolving additive is designed to have low surface tension and dissolution inhibition. In particular, the chemical structure of the dissolved additive has two functional units bonded together. In some examples, the first functional unit is a hydrophobic unit such as an alkyl group, a cycloalkyl group, or an adamantyl group. In some other examples, the first functional unit may include one of the chemical structures 28A, 28B, 28C, and 28D shown in FIG. 2. The first functional unit can reduce the solubility of the photoresist in the developer. In some examples, the second functional unit is a fluorochemical, such as a fluoropolymer. The surface tension of the second functional unit is lower than some values (such as 20mN/m in this example), so the dissolved additives float and have a gradual distribution in the coated photoresist layer, especially decreasing from the top of the photoresist layer to the bottom The concentration (gradual distribution). An example of the dissolving additive 30 has the chemical structure shown in FIG. 3, which is a copolymer in which the first structural unit 32 and the second structural unit 34 are bonded together. The first structural unit 32 and the second structural unit 34 each have a first function and a second function, corresponding to the first functional unit and the second functional unit. In particular, the function of the first structural unit 32 is to suppress the dissolution rate of the photoresist in the developer, and the function of the second structural unit 34 is to achieve a gradual distribution. X and Y are suitable integers. The dissolving additive 30 is designed to have appropriate X and Y to meet the standards required for better photoresist imaging quality. For example, the molecular weight of the dissolved additive is greater than 4000, and further greater than the molecular weight of polymer 12. In this way, the dissolved additives have a gradual distribution, especially from a higher concentration on the upper surface of the photoresist to a lower concentration on the lower surface of the photoresist.

光阻具有漸變分布的溶解添加劑於其中,且光阻層的底部溶解速率較高,而光阻層的頂部溶解速率較低。如此一來,可減少基腳輪廓並改善關鍵尺寸的一致性。 The photoresist has a gradual distribution of dissolving additives therein, and the dissolution rate of the bottom of the photoresist layer is higher, and the dissolution rate of the top of the photoresist layer is lower. In this way, the contour of the footing can be reduced and the consistency of key dimensions can be improved.

在另一實施例中,光阻10中的化學添加劑24為光酸產生劑添加劑(又稱作第二光酸產生劑)。光酸產生劑添加劑為另一種光酸產生劑,其與光酸產生劑14的化學結構不同。光酸產生劑添加劑設計作為光酸產生劑,且具有強極性單元以達光阻10中的漸變分佈。特別的是,光酸產生劑添加劑的化學結構具有兩個功能單體鍵結在一起。第一功能單元為光子敏感單元,其於微影曝光製程中可產生酸。第二功能單元為強極性單元,其可與中間層作用。此機制將說明如下。在一些例子中, 光酸產生劑14與光酸產生劑添加劑40的化學結構比較如第4圖所示。光酸產生劑14包含兩個化學單元42與44,而光酸產生劑添加劑40包含光敏單元46與強極性單元48。由於光酸產生劑添加劑40的強極性單元48與中間層之間的作用力,光酸產生劑添加劑40在光阻層中的底部濃度高於頂部濃度。特別的是,光酸產生劑添加劑40在光阻層中具有漸變分佈,因此對應的光酸產生劑添加劑濃度自光阻層的頂部朝底部增加。光酸產生劑14在光阻層中具有實質上一致的分佈。光酸產生劑14與光酸產生劑添加劑合併後,光酸產生劑在光阻中的底部濃度高於頂部濃度,因此可補償較低的去保護效果、減少基腳輪廓、並改善關鍵尺寸一致性。 In another embodiment, the chemical additive 24 in the photoresist 10 is a photoacid generator additive (also referred to as a second photoacid generator). The photoacid generator additive is another photoacid generator, which has a different chemical structure from the photoacid generator 14. The photoacid generator additive is designed as a photoacid generator, and has strong polar units to achieve the gradual distribution in the photoresist 10. In particular, the chemical structure of the photoacid generator additive has two functional monomers bonded together. The first functional unit is a photon sensitive unit, which can generate acid during the photolithography process. The second functional unit is a strong polar unit, which can interact with the intermediate layer. This mechanism will be explained as follows. In some examples, the chemical structure comparison between the photoacid generator 14 and the photoacid generator additive 40 is shown in FIG. 4. The photoacid generator 14 includes two chemical units 42 and 44, and the photoacid generator additive 40 includes a photosensitive unit 46 and a strong polar unit 48. Due to the force between the strong polar unit 48 of the photoacid generator additive 40 and the intermediate layer, the bottom concentration of the photoacid generator additive 40 in the photoresist layer is higher than the top concentration. In particular, the photoacid generator additive 40 has a gradual distribution in the photoresist layer, so the corresponding photoacid generator additive concentration increases from the top to the bottom of the photoresist layer. The photoacid generator 14 has a substantially uniform distribution in the photoresist layer. After the photoacid generator 14 is combined with the photoacid generator additive, the bottom concentration of the photoacid generator in the photoresist is higher than the top concentration, so it can compensate for the lower deprotection effect, reduce the footing profile, and improve the consistency of key dimensions sex.

同樣地,光阻10中的化學添加劑可為淬息劑添加劑(又稱作第二淬息劑)。淬息劑添加劑為另一種淬息劑,其與淬息劑20的化學結構不同。淬息劑添加劑設計以作為淬息劑,且具有強極性單元以達光阻10中的漸變分佈。特別的是,淬息劑添加劑的化學結構具有兩個功能單元件結在一起。第一功能單元設計為具有淬息劑的功能。第二功能單元為強極性單元,其可與中間層產生作用。在一些例子中,第二功能單元包含富氟聚合物組成,可讓第二淬息劑具有自光阻下表面朝上表面增加的漸變濃度。在一些例子中,淬息劑20與淬息劑添加劑50的化學結構比較如第5圖所示。淬息劑20包含兩個化學單元52與54,而淬息劑添加劑50包含淬息單元56與強極性單元58。由於淬息劑添加劑50的強極性單元58與中間層之間的作用力,淬息劑添加劑50在光阻10中的頂部濃度高於底部濃度。特別的是, 淬息劑添加劑50在光阻層中具有漸變濃度,因此對應的淬息劑添加劑濃度自光阻層的頂部朝底部減少。淬息劑20在光阻層中具有實質上一致的分佈。淬息劑20與淬息劑添加劑合計的濃度,在光阻層中的頂部均高於在光阻層中的底部。上述濃度分佈可補償較低的去保護效果、減少基腳輪廓、並改善關鍵尺寸的一致性。 Similarly, the chemical additive in the photoresist 10 may be a quencher additive (also referred to as a second quencher). The quenching agent additive is another quenching agent, which has a different chemical structure from the quenching agent 20. The quenching agent additive is designed as a quenching agent, and has a strong polar unit to achieve the gradual distribution in the photoresist 10. In particular, the chemical structure of the quenching agent additive has two functional units joined together. The first functional unit is designed to have the function of quenching agent. The second functional unit is a strong polar unit, which can interact with the intermediate layer. In some examples, the second functional unit is composed of a fluorine-rich polymer, which allows the second quenching agent to have a gradually increasing concentration from the lower surface of the photoresist toward the upper surface. In some examples, the chemical structure comparison between the quencher 20 and the quencher additive 50 is shown in FIG. 5. The quenching agent 20 includes two chemical units 52 and 54, and the quenching agent additive 50 includes a quenching unit 56 and a strong polar unit 58. Due to the force between the strong polar unit 58 of the quencher additive 50 and the intermediate layer, the top concentration of the quencher additive 50 in the photoresist 10 is higher than the bottom concentration. In particular, the quencher additive 50 has a gradual concentration in the photoresist layer, so the corresponding quencher additive concentration decreases from the top to the bottom of the photoresist layer. The quenching agent 20 has a substantially uniform distribution in the photoresist layer. The total concentration of the quenching agent 20 and the quenching agent additive is higher in the top part of the photoresist layer than in the bottom part of the photoresist layer. The above-mentioned concentration distribution can compensate for the lower deprotection effect, reduce the contour of the footing, and improve the consistency of key dimensions.

在又一實施例中,光阻10中的化學添加劑24為第一聚合物添加劑(或稱作第二聚合物)。第一聚合物添加劑與聚合物12一樣可抗蝕刻,但第一聚合物添加劑在顯影劑中的溶解度不同,且第一聚合物添加劑在光阻中的空間分佈不同。特別的是,第一聚合物添加劑的化學組成設計為在光阻層中具有不一致的分佈。聚合物12與第一聚合物添加劑一起具有不一致的溶解度於顯影劑中,比如光阻層頂部的溶解度低於光阻層底部的溶解度。在此實施例中,聚合物12與第一聚合物添加劑設計為具有不同的化學結構以達相分離,因此一者(如第一聚合物添加劑)實質上分佈於光阻層頂部中,而另一者(如聚合物12)實質上分佈於光阻層底部中。在多種例子中,藉由酸活性基的活化能,或者藉由聚羥基苯乙烯、立體障礙大的酸活性基、或立體障礙大的內酯之分子量、極性、與比例,可調整聚合物的溶解度與分佈。 In another embodiment, the chemical additive 24 in the photoresist 10 is a first polymer additive (or referred to as a second polymer). The first polymer additive can resist etching like the polymer 12, but the solubility of the first polymer additive in the developer is different, and the spatial distribution of the first polymer additive in the photoresist is different. In particular, the chemical composition of the first polymer additive is designed to have an inconsistent distribution in the photoresist layer. The polymer 12 and the first polymer additive have inconsistent solubility in the developer, for example, the solubility at the top of the photoresist layer is lower than the solubility at the bottom of the photoresist layer. In this embodiment, the polymer 12 and the first polymer additive are designed to have different chemical structures to achieve phase separation, so one (such as the first polymer additive) is substantially distributed on the top of the photoresist layer, and the other One (such as polymer 12) is substantially distributed in the bottom of the photoresist layer. In many examples, the activation energy of acid active groups, or the molecular weight, polarity, and ratio of polyhydroxystyrene, acid active groups with large steric obstacles, or lactones with large steric obstacles, can be used to adjust the polymer Solubility and distribution.

在此實施例中,第一聚合物添加劑60的濃度自光阻層之頂部至底部減少,且可調整為漸變濃度。此外,聚合物12的濃度自光阻層之頂部至底部增加,且可調整為不一致的濃度分佈。第6圖係一些實施例中,聚合物12與第一聚合物添加 劑60的例示性結構。在第6圖中,n1與n2為整數,且分別關於聚合物12與第一聚合物添加劑60的聚合程度與分子量。特別的是,第一聚合物添加劑60的分子量大於聚合物12的分子量,可有效降低光阻的頂部溶解度,以避免光阻損失並消除基腳輪廓。在一些例子中,第一聚合物添加劑60的分子量介於6000至20000之間,而聚合物12的分子量介於2000至8000之間。第一聚合物添加劑60包括含氟單元。與此相較,聚合物12實質上分佈於光阻層的底部,而第一聚合物添加劑60實質上分佈在光阻層的頂部。X、Y、與Z為合適的整數。第一聚合物添加劑60與聚合物12的X、Y、與Z值設計為合適範圍,以符合較佳光阻成像品質所需的上述標準。舉例來說,由於Z存在(大於或等於1),因此第一聚合物添加劑60的分子量大於聚合物12的分子量。在此例中,Z介於3至20之間。 In this embodiment, the concentration of the first polymer additive 60 decreases from the top to the bottom of the photoresist layer, and can be adjusted to a gradual concentration. In addition, the concentration of the polymer 12 increases from the top to the bottom of the photoresist layer, and can be adjusted to an inconsistent concentration distribution. Figure 6 shows an exemplary structure of the polymer 12 and the first polymer additive 60 in some embodiments. In Figure 6, n1 and n2 are integers, and they are related to the degree of polymerization and molecular weight of the polymer 12 and the first polymer additive 60, respectively. In particular, the molecular weight of the first polymer additive 60 is greater than the molecular weight of the polymer 12, which can effectively reduce the top solubility of the photoresist to avoid loss of the photoresist and eliminate footing contours. In some examples, the molecular weight of the first polymer additive 60 is between 6,000 and 20,000, and the molecular weight of the polymer 12 is between 2,000 and 8,000. The first polymer additive 60 includes fluorine-containing units. In contrast, the polymer 12 is substantially distributed on the bottom of the photoresist layer, and the first polymer additive 60 is substantially distributed on the top of the photoresist layer. X, Y, and Z are suitable integers. The X, Y, and Z values of the first polymer additive 60 and the polymer 12 are designed to be in suitable ranges to meet the above-mentioned standards required for better photoresist imaging quality. For example, since Z is present (greater than or equal to 1), the molecular weight of the first polymer additive 60 is greater than the molecular weight of the polymer 12. In this example, Z is between 3 and 20.

在多種例子中,第一聚合物添加劑設計為實質上分佈於光阻層的頂部中。第一聚合物添加劑比聚合物12具有較高活化能、較大分子量、含氟、或上述之組合,因在顯影劑中的溶解度較小。 In various examples, the first polymer additive is designed to be substantially distributed on the top of the photoresist layer. The first polymer additive has higher activation energy, higher molecular weight, fluorine, or a combination of the above than polymer 12 because of its lower solubility in the developer.

在又一實施例中,光阻10中的化學添加劑24為化學組成,其與聚合物12一樣可提供光阻所需的抗蝕刻性,但比聚合物12提供的抗蝕刻性更高,因此可稱作第二聚合物添加劑。第二聚合物添加劑與聚合物12的抗蝕刻性及空間分佈不同。第二聚合物添加劑設計為具有更強的抗蝕刻性,且在光阻中具有不一致的空間分佈。特別的是,第二聚合物添加劑其化學組成,設計為在光阻層中具有漸變分佈,因此第二聚合物添加劑在光 阻層的頂部濃度實質上大於其在光阻層的底部濃度。換言之,第二聚合物添加劑的漸變分布,自光阻層的上表面向光阻層的下表面減少。同樣地,第二聚合物添加劑包含化學單元如含氟單元,以達光阻層中的漸變分佈。 In another embodiment, the chemical additive 24 in the photoresist 10 is of a chemical composition, which, like the polymer 12, can provide the etching resistance required by the photoresist, but is higher than that provided by the polymer 12. It can be referred to as a second polymer additive. The second polymer additive and the polymer 12 have different etching resistance and spatial distribution. The second polymer additive is designed to have stronger etching resistance and has an inconsistent spatial distribution in the photoresist. In particular, the chemical composition of the second polymer additive is designed to have a gradual distribution in the photoresist layer, so the concentration of the second polymer additive at the top of the photoresist layer is substantially greater than its concentration at the bottom of the photoresist layer. In other words, the gradual distribution of the second polymer additive decreases from the upper surface of the photoresist layer to the lower surface of the photoresist layer. Similarly, the second polymer additive contains chemical units such as fluorine-containing units to achieve a gradual distribution in the photoresist layer.

第7圖係一些實施例中,第二聚合物添加劑70的例示性結構。在第7圖中,n為整數,且與第二聚合物添加劑70的聚合程度與分子量相關。特別的是,第二聚合物添加劑70具有兩個化學單元鍵結在一起。第一功能單元72有助於抗蝕刻性大於聚合物12。第二功能單元74有助於漸變分佈於光阻10中。在第7圖所示的例子中,第二功能單元74包含氟。由於第二聚合物添加劑70的漸變分佈與較大的抗蝕刻性,聚合物12與第二聚合物添加劑70一起讓頂部與底部中的抗蝕刻性增加。如此一來,第二聚合物添加劑70可改善光阻預算容忍度,並具有較大的圓潤輪廓容忍度。第二聚合物添加劑70亦改善關鍵尺寸一致性。採用上述光阻材料的微影製程,將詳述如下。 Figure 7 shows an exemplary structure of the second polymer additive 70 in some embodiments. In Figure 7, n is an integer and is related to the degree of polymerization of the second polymer additive 70 and the molecular weight. In particular, the second polymer additive 70 has two chemical units bonded together. The first functional unit 72 contributes to greater etching resistance than the polymer 12. The second functional unit 74 contributes to the gradual distribution in the photoresist 10. In the example shown in FIG. 7, the second functional unit 74 contains fluorine. Due to the gradual distribution and greater etching resistance of the second polymer additive 70, the polymer 12 and the second polymer additive 70 together increase the etching resistance in the top and bottom. In this way, the second polymer additive 70 can improve the tolerance of the photoresist budget and have a larger tolerance of rounded contours. The second polymer additive 70 also improves critical dimension consistency. The lithography process using the above-mentioned photoresist material will be described in detail as follows.

第8圖係本發明一些實施例中,圖案化基板(如半導體晶圓)的方法100其流程圖。藉由採用進階微影製程如深紫外線微影、極紫外線微影、電子束微影、X光微影、及/或其他微影製程的系統實施全部或部份的方法100,可改善圖案尺寸的準確性。在此實施例中,極紫外線及/或電子束微影作為主要例子。在方法100之前、之中、或之後可進行額外步驟,且方法的額外實施例可置換、省略、或調換一些所述步驟。 FIG. 8 is a flowchart of a method 100 for patterning a substrate (such as a semiconductor wafer) in some embodiments of the present invention. By adopting advanced lithography processes such as deep ultraviolet lithography, extreme ultraviolet lithography, electron beam lithography, X-ray lithography, and/or other lithography systems to implement all or part of the method 100, the pattern can be improved The accuracy of the size. In this embodiment, extreme ultraviolet and/or electron beam lithography are the main examples. Additional steps may be performed before, during, or after the method 100, and additional embodiments of the method may replace, omit, or exchange some of the steps.

第9A至9E圖係一些實施例中,半導體結構200於多種製程階段中的剖視圖。方法100將搭配第8與9A至9E圖說明如 下,其中方法100的實施例用於製作半導體結構200。半導體結構200可為製作積體電路時的中間工件或其部份,且積體電路可包含邏輯電路、記憶結構、被動構件(如電阻、電容、或電感)、或主動構件(如二極體、場效電晶體、金氧半場效電晶體、互補式金氧半電晶體、雙極性電晶體、高電壓電晶體、高頻電晶體、鰭狀場效電晶體、其他三維的電晶體、金氧半電晶體、互補式金氧半電晶體、雙極性電晶體、高電壓電晶體、高頻電晶體、其他記憶單元)、或上述之組合。 9A to 9E are cross-sectional views of the semiconductor structure 200 in various process stages in some embodiments. The method 100 will be described in conjunction with FIGS. 8 and 9A to 9E as follows, wherein the embodiment of the method 100 is used to fabricate the semiconductor structure 200. The semiconductor structure 200 can be an intermediate workpiece or a part thereof when an integrated circuit is made, and the integrated circuit can include logic circuits, memory structures, passive components (such as resistors, capacitors, or inductors), or active components (such as diodes). , Field Effect Transistor, Metal Oxide Half Field Effect Transistor, Complementary Metal Oxide Semi Transistor, Bipolar Transistor, High Voltage Transistor, High Frequency Transistor, Fin-shaped Field Effect Transistor, Other Three-dimensional Transistor, Gold Oxygen semi-transistor, complementary metal oxide semi-transistor, bipolar transistor, high-voltage transistor, high-frequency transistor, other memory cells), or a combination of the above.

如第8與9A圖所示,方法100之步驟102由半導體結構200開始。如第9A圖所示,半導體結構200包含基板202。在一實施例中,基板202為半導體基板如晶圓。在另一實施例中,基板202包含結晶結構的矽。在其他實施例中,基板202包含其他半導體元素如鍺、半導體化合物如碳化矽、砷化鎵、砷化銦、或磷化銦。基板202包含一或多層的材料或組成。基板202可包含絕緣層上矽基板、具有應力/應變以增進效能、包含一或多個半導體裝置或其部份、包含導電及/或非導電層、及/或包含其他合適的結構與層狀物。 As shown in FIGS. 8 and 9A, step 102 of the method 100 starts with the semiconductor structure 200. As shown in FIG. 9A, the semiconductor structure 200 includes a substrate 202. In one embodiment, the substrate 202 is a semiconductor substrate such as a wafer. In another embodiment, the substrate 202 includes silicon with a crystalline structure. In other embodiments, the substrate 202 includes other semiconductor elements such as germanium, semiconductor compounds such as silicon carbide, gallium arsenide, indium arsenide, or indium phosphide. The substrate 202 includes one or more layers of materials or compositions. The substrate 202 may include a silicon-on-insulation substrate, with stress/strain to improve performance, include one or more semiconductor devices or parts thereof, include conductive and/or non-conductive layers, and/or include other suitable structures and layers Things.

在此實施例中,形成下方層於基板204上。下方層204可為之後進行製程如圖案化或佈植的材料層。舉例來說,下方層204為即將圖案化的硬遮罩層。在另一例中,下方層204為即將離子佈植的磊晶半導體層。在一實施例中,下方層204為硬遮罩層,其包含的材料可為氧化矽、氮化矽、氮氧化矽、或其他合適的材料或組成。在一實施例中,下方層204為抗反射塗層如無氮的抗反射塗層,比如氧化矽、碳氧化矽、或電漿 增強化學氣相沉積的氧化矽。在多種實施例中,下方層204可包含高介電常數介電層、閘極層、界面層、蓋層、擴散阻障層、介電層、導電層、其他合適層、及/或上述之組合。 In this embodiment, a lower layer is formed on the substrate 204. The lower layer 204 may be a material layer that is subjected to a subsequent process such as patterning or implanting. For example, the lower layer 204 is a hard mask layer to be patterned. In another example, the lower layer 204 is an epitaxial semiconductor layer to be ion implanted. In one embodiment, the lower layer 204 is a hard mask layer, and the material contained therein may be silicon oxide, silicon nitride, silicon oxynitride, or other suitable materials or compositions. In one embodiment, the lower layer 204 is an anti-reflective coating such as a nitrogen-free anti-reflective coating, such as silicon oxide, silicon oxycarbide, or plasma-enhanced chemical vapor deposited silicon oxide. In various embodiments, the underlying layer 204 may include a high-k dielectric layer, a gate layer, an interface layer, a cap layer, a diffusion barrier layer, a dielectric layer, a conductive layer, other suitable layers, and/or the above combination.

在此實施例中,下方層可為部份的三層光阻。在此例中,下方層包含底膜與底膜上的中間膜。在此實施例中,底膜為富碳聚合物材料,而中間膜為富矽材料以提供蝕刻選擇性。在一些例子中,底膜與中間膜的形成方法均為旋轉塗佈,且可經由硬化步驟如熱烘烤或紫外線硬化以進一步硬化。 In this embodiment, the lower layer may be a partial three-layer photoresist. In this example, the lower layer includes a base film and an intermediate film on the base film. In this embodiment, the bottom film is a carbon-rich polymer material, and the intermediate film is a silicon-rich material to provide etching selectivity. In some examples, both the base film and the intermediate film are formed by spin coating, and can be further cured by a curing step such as thermal baking or ultraviolet curing.

在一些實施例中,半導體結構200可為用於圖案化半導體晶圓的光罩。在此實施例中,基板202為光罩基板,其可包含透明材料(如石英)或低熱膨脹材料(如氧化矽-氧化鈦化合物)。光罩的基板202亦可包含需圖案化的材料層。在此例中,光罩的基板202可用於製作深紫外線光障、極紫外線光罩、或其他種類的光罩。綜上所述,下方層204可為材料層,其經圖案化以定義電路圖案。舉例來說,下方層204為吸收層如鉻層。 In some embodiments, the semiconductor structure 200 may be a photomask for patterning a semiconductor wafer. In this embodiment, the substrate 202 is a mask substrate, which may include a transparent material (such as quartz) or a low thermal expansion material (such as a silicon oxide-titanium oxide compound). The substrate 202 of the photomask may also include a material layer to be patterned. In this example, the substrate 202 of the photomask can be used to make a deep ultraviolet light barrier, an extreme ultraviolet photomask, or other types of photomasks. In summary, the lower layer 204 may be a material layer, which is patterned to define a circuit pattern. For example, the lower layer 204 is an absorption layer such as a chromium layer.

方法100的步驟104形成光阻10於基板202上(見第9A圖)。光阻10對微影曝光製程所用的射線敏感,並可抵抗蝕刻。如第9A圖所示的一實施例中,光阻10的施加方法為旋轉塗佈製程。在一些實施例中,進一步以軟烘烤製程處理光阻10。在一些實施例中,光阻層對射線敏感,比如I線光、深紫外線(如氟化氪準分子雷射的248nm射線或氟化氬準分子雷射的193nm射線)、極紫外線(如135nm的光)、電子束、或離子束。 Step 104 of method 100 forms photoresist 10 on substrate 202 (see FIG. 9A). The photoresist 10 is sensitive to radiation used in the lithography exposure process and can resist etching. In an embodiment shown in FIG. 9A, the application method of the photoresist 10 is a spin coating process. In some embodiments, the photoresist 10 is further processed by a soft baking process. In some embodiments, the photoresist layer is sensitive to radiation, such as I-line light, deep ultraviolet (such as 248nm radiation of krypton fluoride excimer laser or 193nm radiation of argon fluoride excimer laser), extreme ultraviolet (such as 135nm)的光), electron beam, or ion beam.

在此例中,光阻採用化學放大光阻材料。舉例來說,化學放大光阻材料為正型,其聚合物材料與酸反應後可溶 於顯影劑。在其他實施例中,化學放大光阻材料為負型,其聚合物與酸反應後不溶於顯影劑如鹼溶液。在又一例中,化學方大光阻材料包含的聚合物材料在與酸反應後改變其極性。 In this example, the photoresist uses a chemically amplified photoresist material. For example, the chemically amplified photoresist material is a positive type, and its polymer material is soluble in the developer after reacting with an acid. In other embodiments, the chemically amplified photoresist material is a negative type, and its polymer is insoluble in a developer such as an alkali solution after reacting with an acid. In another example, the polymer material contained in the chemical square photoresist material changes its polarity after reacting with an acid.

在塗佈光阻10至基板上之前與之間時,光阻為液態。因此光阻10亦可稱作光阻溶液。光阻10如第1A圖至7圖所述。光阻10包含聚合物12、光酸產生劑14、淬息劑20、溶劑22、與化學添加劑24。化學添加劑24設計為在塗佈於基板202上時,可具有漸變分佈的化學特性。在一些例子中,化學添加劑24的化學結構具有兩個功能單元鍵結在一起:一個功能單元可導致光阻層中的不一致分佈,而另一功能單元的特性可在曝光製程時由上至下補償光阻層的變異。化學添加劑24可為為溶解添加劑(如第3圖中的溶解添加劑30)、光酸產生劑添加劑(如第4圖中的光酸產生劑添加劑40)、淬息劑添加劑(如第5圖中的淬息劑添加劑50)、第一聚合物添加劑(如第6圖中的第一聚合物添加劑60)、或第二聚合物添加劑(如第7圖中的第二聚合物添加劑70),如前述之多種實施例。 Before and during the coating of the photoresist 10 on the substrate, the photoresist is in a liquid state. Therefore, the photoresist 10 can also be referred to as a photoresist solution. The photoresist 10 is as described in FIGS. 1A to 7. The photoresist 10 includes a polymer 12, a photoacid generator 14, a quenching agent 20, a solvent 22, and a chemical additive 24. The chemical additive 24 is designed to have a gradual distribution of chemical characteristics when it is coated on the substrate 202. In some examples, the chemical structure of the chemical additive 24 has two functional units bonded together: one functional unit can cause inconsistent distribution in the photoresist layer, and the characteristics of the other functional unit can be from top to bottom during the exposure process Compensate for the variation of the photoresist layer. The chemical additive 24 can be a dissolving additive (such as the dissolving additive 30 in Figure 3), a photoacid generator additive (such as the photoacid generator additive 40 in Figure 4), and a quenching agent additive (such as the dissolving additive 30 in Figure 5). The quencher additive 50), the first polymer additive (such as the first polymer additive 60 in Figure 6), or the second polymer additive (such as the second polymer additive 70 in Figure 7), such as The foregoing various embodiments.

如第8與9B圖所示,方法100之步驟106在微影系統中,以第一射線對光阻10進行曝光製程,在一些實施例中,第一射線為極紫外線(13.5nm)。在一些實施例中,第一射線為I線(365nm)、深紫外線如氟化氪準分子雷射(248nm)或氟化氬準分子雷射(193nm)、極紫外線、X光、電子束、離子束、及/或其他合適射線。步驟106可在空氣、液體(浸潤式微影)、或真空(極紫外線微影或電子束微影)中進行。在一些實施例中,將射線導向光阻,將定義於光罩上的電路圖案成像於光阻上。光罩 可為穿透式或反射式光罩。適當的曝光模式可為步進式或掃描式。多種解析度增進技術如相移、離軸照射、及/或光學鄰近修正,可經由光罩或曝光製程實施。舉例來說,光學鄰近修正可整合至電路圖案中。在另一例中,光罩為相移光罩如交替式相移光罩、衰減式相移光罩、或無鉻相移光罩。在又一例中,以離軸照射模式實施曝光製程。在一些其他實施例中,以預定圖案如積體電路佈局直接調整射線,而不採用光罩。舉例來說,可採用數位圖案產生器或直寫模式。在此實施例中,射線為極紫外線且在極紫外線微影系統(如上述極紫外線微影系統)中進行步驟106。 As shown in FIGS. 8 and 9B, in step 106 of the method 100, in the lithography system, the photoresist 10 is exposed to a first radiation. In some embodiments, the first radiation is extreme ultraviolet (13.5 nm). In some embodiments, the first ray is I-line (365nm), deep ultraviolet such as krypton fluoride excimer laser (248nm) or argon fluoride excimer laser (193nm), extreme ultraviolet, X-ray, electron beam, Ion beam, and/or other suitable radiation. Step 106 can be performed in air, liquid (immersion lithography), or vacuum (extreme ultraviolet lithography or electron beam lithography). In some embodiments, the rays are directed to the photoresist, and the circuit pattern defined on the photomask is imaged on the photoresist. The photomask can be a transmissive or reflective photomask. The appropriate exposure mode can be either stepping or scanning. Various resolution enhancement techniques such as phase shifting, off-axis illumination, and/or optical proximity correction can be implemented through photomasks or exposure processes. For example, optical proximity correction can be integrated into the circuit pattern. In another example, the photomask is a phase shift photomask, such as an alternating phase shift photomask, an attenuated phase shift photomask, or a chromium-free phase shift photomask. In another example, the exposure process is performed in an off-axis irradiation mode. In some other embodiments, the radiation is directly adjusted in a predetermined pattern, such as an integrated circuit layout, without using a photomask. For example, a digital pattern generator or direct write mode can be used. In this embodiment, the rays are extreme ultraviolet rays and step 106 is performed in an extreme ultraviolet lithography system (such as the aforementioned extreme ultraviolet lithography system).

在曝光製程後,步驟106可更包含其他步驟如熱處理。在此實施例中,步驟106包含對半導體結構200(特別是對塗佈於基板202上的光阻10)進行曝光後烘烤製程。在曝光後烘烤製程中,切斷光阻材料中曝光部份的酸活性基16,使光阻材料的曝光部份產生化學變化(比如更親水或更疏水)。在特定實施例中,可在約120℃至約160℃之間的熱腔室中進行曝光後烘烤製程。 After the exposure process, step 106 may further include other steps such as heat treatment. In this embodiment, step 106 includes performing a post-exposure baking process on the semiconductor structure 200 (especially the photoresist 10 coated on the substrate 202). In the post-exposure baking process, the acid active groups 16 in the exposed part of the photoresist material are cut off, so that the exposed part of the photoresist material is chemically changed (for example, more hydrophilic or more hydrophobic). In certain embodiments, the post-exposure baking process can be performed in a thermal chamber between about 120°C and about 160°C.

在步驟106之後,形成潛圖案於光阻10上。光阻的潛圖案指的是光阻上的曝光圖案,其於顯影製程後終將轉變為物理的光阻圖案。光阻10的潛圖案包含曝光部份10a與未曝光部份10b。在此例的潛圖案中,光阻層的曝光部份10a產生化學變化。在一些例子中,可去保護10a曝光部份並誘發極性轉變,以用於雙型顯影。在其他例子中,曝光部份10a的聚合程度改變,比如正光阻中的解聚合(或負光阻中的交聯)。 After step 106, a latent pattern is formed on the photoresist 10. The latent pattern of the photoresist refers to the exposure pattern on the photoresist, which will eventually be transformed into a physical photoresist pattern after the development process. The latent pattern of the photoresist 10 includes an exposed portion 10a and an unexposed portion 10b. In the latent pattern of this example, the exposed portion 10a of the photoresist layer is chemically changed. In some cases, the exposed part of 10a can be deprotected and the polarity change can be induced for dual-type development. In other examples, the degree of polymerization of the exposed portion 10a is changed, such as depolymerization in a positive photoresist (or cross-linking in a negative photoresist).

如第8與9C圖所示的一些實施例,方法100的步驟108接著在顯影劑中顯影光阻。顯影製程形成圖案化光阻10’。在一些實施例中,光阻10在步驟106後的極性改變,且可實施雙型顯影製程。在一些例子中,光阻10自非極性態(疏水態)轉變成極性態(親水態),接著可由水性溶劑如氫氧化四甲基銨移除曝光部份10a(正型成像),或者可由有機溶劑如乙酸丁酯移除未曝光部份10b(負型成像)。在一些其他例子中,光阻10自極性態轉變成非極性態,接著可由有機溶劑移除曝光部份10a(正型成像),或者可由水性溶劑移除未曝光部份10b(負型成像)。 As in some embodiments shown in Figures 8 and 9C, step 108 of the method 100 is followed by developing the photoresist in a developer. The development process forms a patterned photoresist 10'. In some embodiments, the polarity of the photoresist 10 after step 106 is changed, and a dual-type development process can be implemented. In some examples, the photoresist 10 is converted from a non-polar state (hydrophobic state) to a polar state (hydrophilic state), and then the exposed portion 10a can be removed by an aqueous solvent such as tetramethylammonium hydroxide (positive imaging), or can be An organic solvent such as butyl acetate removes the unexposed portion 10b (negative imaging). In some other examples, the photoresist 10 is converted from a polar state to a non-polar state, and then the exposed portion 10a can be removed by an organic solvent (positive imaging), or the unexposed portion 10b can be removed by an aqueous solvent (negative imaging) .

在第9C圖所示的此例中,在顯影製程中移除未曝光部份10b。在第9C圖所示的此例中,圖案化光阻10’為兩個線路圖案。然後下述內容亦可用於溝槽的光阻圖案。 In this example shown in FIG. 9C, the unexposed portion 10b is removed during the development process. In this example shown in FIG. 9C, the patterned photoresist 10' has two circuit patterns. Then the following can also be used for the photoresist pattern of the trench.

如第8與9D圖所示,方法100之步驟110採用圖案化光阻10’作為遮罩,對半導體結構200進行製程,因此製程只施加至圖案化光阻10’的開口中部份的半導體結構200,而圖案化光阻10’覆蓋其他部份的半導體結構200以免於被製程影響。在一些實施例中,製程包含施加至下方層204的蝕刻製程,其採用圖案化光阻10’作為蝕刻遮罩,以將圖案化光阻10’的圖案轉移至下方層204中。在其他實施例中,製程包含施加至半導體結構200之離子佈植製程,其採用圖案化光阻10’作為佈植遮罩,以形成多種摻雜結構於半導體結構200中。 As shown in Figures 8 and 9D, step 110 of the method 100 uses the patterned photoresist 10' as a mask to process the semiconductor structure 200, so the process is only applied to the semiconductor in the opening of the patterned photoresist 10' The structure 200, and the patterned photoresist 10' covers other parts of the semiconductor structure 200 so as not to be affected by the manufacturing process. In some embodiments, the process includes an etching process applied to the underlying layer 204, which uses the patterned photoresist 10' as an etching mask to transfer the pattern of the patterned photoresist 10' to the underlying layer 204. In other embodiments, the process includes an ion implantation process applied to the semiconductor structure 200, which uses a patterned photoresist 10' as an implant mask to form a variety of doped structures in the semiconductor structure 200.

在此例中,下方層204為硬遮罩層。在此實施例中,先將圖案自圖案化光阻10’轉移至下方層204,再將圖案轉移至基板202的其他層。舉例來說,可採用乾(電漿)蝕刻、濕蝕刻、 及/或其他蝕刻方法,經由圖案化光阻10’的開口蝕刻下方層204。舉例來說,乾蝕刻製程可採用含氧氣體、含氟氣體、含氯氣體、含溴氣體、含碘氣體、其他合適氣體及/或電漿、及/或上述之組合。在蝕刻下方層204時可消耗部份或所有的圖案化光阻10’。在一實施例中,可剝除任何殘留的圖案化光阻10’,以保留圖案化硬遮罩204’於基板202上,如第9E圖所示。 In this example, the lower layer 204 is a hard mask layer. In this embodiment, the pattern is first transferred from the patterned photoresist 10' to the underlying layer 204, and then the pattern is transferred to other layers of the substrate 202. For example, dry (plasma) etching, wet etching, and/or other etching methods may be used to etch the underlying layer 204 through the openings of the patterned photoresist 10'. For example, the dry etching process may use oxygen-containing gas, fluorine-containing gas, chlorine-containing gas, bromine-containing gas, iodine-containing gas, other suitable gas and/or plasma, and/or a combination of the foregoing. Part or all of the patterned photoresist 10' may be consumed when etching the underlying layer 204. In one embodiment, any remaining patterned photoresist 10' can be stripped off to keep the patterned hard mask 204' on the substrate 202, as shown in FIG. 9E.

雖然未圖示於第8圖中,但方法100在上述步驟之前、之中、或之後可包含其他步驟。在一實施例中,基板202為半導體基板,並進行方法100以形成鰭狀場效電晶體結構。在此實施例中,方法100包含形成多個主動鰭狀物於半導體的基板202中。在此實施例中,步驟110更包含經由圖案化硬遮罩204’其開口,蝕刻基板202以形成溝槽於基板202中;將介電材料填入溝槽;進行化學機械研磨製程以形成淺溝槽結構;並磊晶成長或使淺溝槽隔離結構凹陷,以形成鰭狀主動區。在另一實施例中,方法100包含其他步驟以形成多個閘極於半導體的基板202中。方法100亦可包含形成閘極間隔物、摻雜的源極/汲極區、用於閘極/源極/汲極結構的接點、與類似物。在另一實施例中,可形成目標圖案如金屬線路於多層內連線結構中。舉例來說,金屬線路可形成於基板202的層間介電層中,且層間介電層可經步驟110蝕刻以形成多個溝槽。方法100可將導電材料如金屬填入溝槽,並以化學機械研磨等製程研磨導電材料,以露出圖案化的層間介電層,即形成金屬線路於層間介電層中。依據本發明多種實施例的方法與材料,可形成及/或改善上述非限制性例子的裝置/結構。 Although not shown in Figure 8, the method 100 may include other steps before, during, or after the above steps. In one embodiment, the substrate 202 is a semiconductor substrate, and the method 100 is performed to form a fin-shaped field effect transistor structure. In this embodiment, the method 100 includes forming a plurality of active fins in the semiconductor substrate 202. In this embodiment, step 110 further includes etching the substrate 202 through the opening of the patterned hard mask 204' to form a trench in the substrate 202; filling the trench with a dielectric material; and performing a chemical mechanical polishing process to form a shallow Trench structure; and epitaxial growth or recessed shallow trench isolation structure to form a fin-shaped active area. In another embodiment, the method 100 includes other steps to form a plurality of gates in the semiconductor substrate 202. The method 100 may also include forming gate spacers, doped source/drain regions, contacts for gate/source/drain structures, and the like. In another embodiment, a target pattern such as a metal circuit can be formed in a multilayer interconnection structure. For example, metal lines may be formed in the interlayer dielectric layer of the substrate 202, and the interlayer dielectric layer may be etched in step 110 to form a plurality of trenches. In the method 100, a conductive material such as a metal is filled into the trench, and the conductive material is polished by a process such as chemical mechanical polishing to expose the patterned interlayer dielectric layer, that is, a metal circuit is formed in the interlayer dielectric layer. According to the methods and materials of various embodiments of the present invention, the above non-limiting examples of devices/structures can be formed and/or improved.

本發明實施例提供之光阻材料具有漸變組成,以補償基角輪廓的問題。在多種實施例中,光阻材料包含聚合物、光酸產生劑、淬息劑、與化學添加劑混合於溶劑中,其組成設計以達不一致的分佈與對應的不一致特性參數(如溶解速率、光敏感度、抗蝕刻性、或上述之組合),因此可補償曝光製程中的光阻變異,減少基角問題並改善圖案化光阻層的不一致關鍵尺寸。化學添加劑可為第二聚合物、第二光酸產生劑、第二淬息劑、或上述之組合。舉例來說,化學添加劑包含第二光酸產生劑與第二淬息劑,以增加彼此的補償效果。在另一例中,化學添加劑包含第二光酸產生劑與第二聚合物。 The photoresist material provided by the embodiment of the present invention has a gradual composition to compensate for the problem of the base angle profile. In various embodiments, the photoresist material includes a polymer, a photoacid generator, a quencher, and a chemical additive mixed in a solvent, and its composition is designed to achieve an inconsistent distribution and corresponding inconsistent characteristic parameters (such as dissolution rate, photosensitivity, etc.). Sensitivity, etching resistance, or a combination of the above), therefore, it can compensate for the photoresist variation in the exposure process, reduce the base angle problem and improve the inconsistent critical dimensions of the patterned photoresist layer. The chemical additive can be a second polymer, a second photoacid generator, a second quenching agent, or a combination of the above. For example, the chemical additives include a second photoacid generator and a second quencher to increase the mutual compensation effect. In another example, the chemical additive includes a second photoacid generator and a second polymer.

上述進階的微影製程、方法、與材料可用於多種應用中,比如鰭狀場效電晶體。舉例來說,可圖案化鰭狀物使結構之間的空間更小,其適用於上述內容。此外,間隔物可用於形成鰭狀場效電晶體的鰭狀物,且間隔物亦稱作芯。間隔物可依據上述內容形成。 The above-mentioned advanced lithography processes, methods, and materials can be used in a variety of applications, such as fin-shaped field effect transistors. For example, fins can be patterned to make the space between structures smaller, which is suitable for the above. In addition, spacers can be used to form fins of fin-shaped field effect transistors, and the spacers are also called cores. The spacer can be formed according to the above.

因此本發明一實施例提供微影圖案化的方法。方法包括:塗佈光阻層於基板上,其中光阻層包括第一聚合物、第一光酸產生劑、以及化學添加劑混合於溶劑中;對光阻層進行曝光製程;以及對光阻層進行顯影製程,以形成圖案化光阻層。化學添加劑在光阻層中的分佈不一致。 Therefore, an embodiment of the present invention provides a method of lithographic patterning. The method includes: coating a photoresist layer on a substrate, where the photoresist layer includes a first polymer, a first photoacid generator, and chemical additives mixed in a solvent; performing an exposure process on the photoresist layer; and applying the photoresist layer A development process is performed to form a patterned photoresist layer. The distribution of chemical additives in the photoresist layer is inconsistent.

在一實施例中,上述方法之化學添加劑包含的化學結構具有化學鍵結在一起的第一功能單元與第二功能單元,其中第一功能單元設計使化學添加劑自光阻層的上表面至下表面具有漸變濃度,其中第二功能單元設計為具有特性參數, 以補償曝光製程導致的光阻上表面至下表面的變異。 In one embodiment, the chemical additive contained in the above method has a chemical structure with a first functional unit and a second functional unit that are chemically bonded together, wherein the first functional unit is designed so that the chemical additive is from the upper surface to the lower surface of the photoresist layer With gradual density, the second functional unit is designed to have characteristic parameters to compensate for the variation from the top surface to the bottom surface of the photoresist caused by the exposure process.

在一實施例中,上述方法之光阻層中的化學添加劑為分子量大於4000的溶解添加劑,其中第一功能單元的表面張力小於20mN/m,使溶解添加劑的漸變濃度自上表面朝下表面減少。 In one embodiment, the chemical additive in the photoresist layer of the above method is a dissolved additive with a molecular weight greater than 4000, wherein the surface tension of the first functional unit is less than 20mN/m, so that the gradual concentration of the dissolved additive decreases from the upper surface to the lower surface .

在一實施例中,上述方法之第一功能單元包括氟。 In one embodiment, the first functional unit of the above method includes fluorine.

在一實施例中,上述方法之第二功能單元包含疏水單元,其為烷基、環烷基、或金剛烷基,其中疏水單元降低光阻層在顯影製程中的溶解速率。 In one embodiment, the second functional unit of the above method includes a hydrophobic unit, which is an alkyl group, a cycloalkyl group, or an adamantyl group, wherein the hydrophobic unit reduces the dissolution rate of the photoresist layer during the development process.

在一實施例中,上述方法之溶解添加劑包含的化 學結構為

Figure 106141351-A0101-12-0019-2
,其中X與Y為整數。 In one embodiment, the chemical structure of the dissolving additive in the above method is
Figure 106141351-A0101-12-0019-2
, Where X and Y are integers.

在一實施例中,上述方法更包括在塗佈光阻於基板上之前,先形成富碳底層於基板上,以及形成富矽中間層於富碳底層上。 In one embodiment, the above method further includes forming a carbon-rich underlayer on the substrate before coating the photoresist on the substrate, and forming a silicon-rich intermediate layer on the carbon-rich underlayer.

在一實施例中,上述方法之化學添加劑為第二光酸產生劑,其中曝光製程中的第二光酸產生劑與第一光酸產生劑的化學組成不同,其中第二光酸產生劑中的第一功能單元包含極性單元,以增加第二光酸產生劑與富矽中間層之間的作用力,進而使第二光酸產生劑的漸變濃度自上表面朝下表面增加。 In one embodiment, the chemical additive of the above method is a second photoacid generator, wherein the chemical composition of the second photoacid generator in the exposure process is different from that of the first photoacid generator, and the chemical composition of the second photoacid generator is The first functional unit includes a polar unit to increase the force between the second photoacid generator and the silicon-rich intermediate layer, so that the gradual concentration of the second photoacid generator increases from the upper surface to the lower surface.

在一實施例中,上述方法之第一光酸產生劑在光阻層中具有一致的分佈。 In one embodiment, the first photoacid generator in the above method has a uniform distribution in the photoresist layer.

在一實施例中,上述方法之第一光酸產生劑的化學結構具有兩個鍵結在一起的化學單元:

Figure 106141351-A0101-12-0020-3
,且第二光酸產生劑具有兩個鍵結 在一起的化學單元:
Figure 106141351-A0101-12-0020-5
。 In one embodiment, the chemical structure of the first photoacid generator in the above method has two chemical units bonded together:
Figure 106141351-A0101-12-0020-3
, And the second photoacid generator has two chemical units bonded together:
Figure 106141351-A0101-12-0020-5
.

在一實施例中,上述方法之光阻層更包括第一淬息劑,且第一淬息劑在光阻層中具有一致的分佈;化學添加劑為第二淬息劑,且第一淬息劑與第二淬息劑的化學組成不同;以及第二淬息劑中的第一功能單元包含富氟聚合組成,使第二淬息劑的漸變濃度自下表面朝上表面增加。 In one embodiment, the photoresist layer of the above method further includes a first quenching agent, and the first quenching agent has a uniform distribution in the photoresist layer; the chemical additive is the second quenching agent, and the first quenching agent The chemical composition of the second quencher is different from that of the second quencher; and the first functional unit in the second quencher contains a fluorine-rich polymer composition, so that the gradual concentration of the second quencher increases from the lower surface to the upper surface.

在一實施例中,上述方法之第一淬息劑包含的化學結構具有鍵結在一起的兩個化學單元:

Figure 106141351-A0101-12-0020-6
,且第二淬息劑包含的化學結構具有鍵 結在一起的兩個化學單元:
Figure 106141351-A0101-12-0021-7
。 In one embodiment, the chemical structure contained in the first quenching agent of the above method has two chemical units bonded together:
Figure 106141351-A0101-12-0020-6
, And the chemical structure of the second quenching agent has two chemical units bonded together:
Figure 106141351-A0101-12-0021-7
.

在一實施例中,上述方法的化學添加劑為第二聚合物,且第一聚合物與第二聚合物的組成不同;以及曝光製程之前的光阻層中,第二聚合物與第一聚合物相分離。 In one embodiment, the chemical additive in the above method is a second polymer, and the composition of the first polymer and the second polymer are different; and in the photoresist layer before the exposure process, the second polymer and the first polymer Phase separation.

在一實施例中,上述方法的第一聚合物的第一漸變濃度自光阻層的上表面朝下表面增加;以及第二聚合物的第二漸變濃度自光阻層的上表面朝下表面減少。 In one embodiment, in the above method, the first gradient concentration of the first polymer increases from the upper surface of the photoresist layer toward the lower surface; and the second gradient concentration of the second polymer increases from the upper surface of the photoresist layer toward the lower surface reduce.

在一實施例中,上述方法的第一聚合物具有第一分子量,第二聚合物具有第二分子量,且第二分子量大於第一分子量,其中第一分子量介於2000至8000之間,而第二分子量介於6000至20000之間。 In one embodiment, the first polymer in the above method has a first molecular weight, the second polymer has a second molecular weight, and the second molecular weight is greater than the first molecular weight, wherein the first molecular weight is between 2000 and 8000, and the first molecular weight is between 2000 and 8000. The molecular weight is between 6000 and 20000.

在一實施例中,上述方法的第二聚合物其化學結構中的極性單元比例,大於第一聚合物其化學結構中的極性單元比例。 In one embodiment, the proportion of polar units in the chemical structure of the second polymer of the above method is greater than the proportion of polar units in the chemical structure of the first polymer.

在一實施例中,上述方法的第二聚合物在顯影製程中的溶解度,小於第一聚合物在顯影製程中的溶解度,其中 第一聚合物的化學結構為

Figure 106141351-A0101-12-0022-8
,其中第二聚合 物的化學結構為
Figure 106141351-A0101-12-0022-9
。 In one embodiment, the solubility of the second polymer in the development process of the above method is less than the solubility of the first polymer in the development process, wherein the chemical structure of the first polymer is
Figure 106141351-A0101-12-0022-8
, Where the chemical structure of the second polymer is
Figure 106141351-A0101-12-0022-9
.

在一實施例中,上述方法的第二聚合物在蝕刻製程中的抗蝕刻性大於第一聚合物在蝕刻製程中的抗蝕刻性,其 中第二聚合物的化學結構為

Figure 106141351-A0101-12-0022-10
。 In one embodiment, the etching resistance of the second polymer in the etching process of the above method is greater than the etching resistance of the first polymer in the etching process, wherein the chemical structure of the second polymer is
Figure 106141351-A0101-12-0022-10
.

本發明另一實施例提供微影圖案化的方法。方法包括形成光阻層於基板上,其中光阻層包含聚合物、第一光酸產生劑、與第二光酸產生劑混合於溶劑中。第二光酸產生劑與第一光酸產生劑的組成不同。第二光酸產生劑的漸變濃度自光阻層的上表面朝下表面減少;方法更包含對光阻層進行曝光製程;以及顯影光阻層,以形成圖案化光阻層。 Another embodiment of the present invention provides a method of lithographic patterning. The method includes forming a photoresist layer on a substrate, wherein the photoresist layer includes a polymer, a first photoacid generator, and a second photoacid generator mixed in a solvent. The composition of the second photoacid generator is different from that of the first photoacid generator. The gradual concentration of the second photoacid generator decreases from the upper surface to the lower surface of the photoresist layer; the method further includes exposing the photoresist layer; and developing the photoresist layer to form a patterned photoresist layer.

在一實施例中,上述方法的第一光酸產生劑包含的化學結構具有鍵結在一起的兩個化學單元:

Figure 106141351-A0101-12-0023-11
,其中第二光酸產生劑包含的化學結構 具有鍵結在一起的兩個化學單元:
Figure 106141351-A0101-12-0023-12
。 In one embodiment, the chemical structure contained in the first photoacid generator of the above method has two chemical units bonded together:
Figure 106141351-A0101-12-0023-11
, Where the chemical structure of the second photoacid generator has two chemical units bonded together:
Figure 106141351-A0101-12-0023-12
.

本發明另一實施例亦提供微影圖案化的方法。方法包括形成光阻層於基板上。光阻層包含聚合物、光酸產生劑、第一淬息劑、與第二淬息劑混合於溶劑中。第二淬息劑與第一淬息劑的組成不同。第二淬息劑與聚合物的作用力,大於第一淬息劑與聚合物的作用力,因此第二淬息劑在光阻層的底部具有較高濃度,且在光阻層的頂部具有較低濃度。上述方法更包含對光阻層進行曝光製程,以及顯影光阻層以形成圖案化光阻層。 Another embodiment of the present invention also provides a method of lithographic patterning. The method includes forming a photoresist layer on the substrate. The photoresist layer includes a polymer, a photoacid generator, a first quenching agent, and a second quenching agent mixed in a solvent. The composition of the second quenching agent is different from that of the first quenching agent. The force between the second quenching agent and the polymer is greater than the force between the first quenching agent and the polymer. Therefore, the second quenching agent has a higher concentration at the bottom of the photoresist layer and has a higher concentration on the top of the photoresist layer. Lower concentration. The above method further includes performing an exposure process on the photoresist layer, and developing the photoresist layer to form a patterned photoresist layer.

上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者應理解可採用本發明作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未脫離本發明之精神與範疇的前提下進行改變、替換、或更動。 The features of the above-mentioned embodiments facilitate the understanding of the present invention by those skilled in the art. Those skilled in the art should understand that the present invention can be used as a basis to design and change other manufacturing processes and structures to achieve the same purpose and/or the same advantages of the above-mentioned embodiments. Those with ordinary knowledge in the technical field should also understand that these equivalent substitutions do not depart from the spirit and scope of the present invention, and can be changed, substituted, or modified without departing from the spirit and scope of the present invention.

Figure 106141351-A0101-11-0002-1
Figure 106141351-A0101-11-0002-1

100‧‧‧方法 100‧‧‧Method

102、104、106、108、110‧‧‧步驟 102, 104, 106, 108, 110‧‧‧ steps

Claims (10)

一種微影圖案化的方法,包括:提供一光阻溶液,其中該光阻溶液包括一第一聚合物、一第二聚合物、一第一光酸產生劑、以及與該第一光酸產生劑的組成不同的一第二光酸產生劑混合於一溶劑中,其中該第一聚合物的化學式為:
Figure 106141351-A0305-02-0028-1
且該第二聚合物的化學式為:
Figure 106141351-A0305-02-0028-2
X、Y、與Z為正整數;其中,該第一光酸產生劑包括下述兩個鍵結在一起的化學單元:
Figure 106141351-A0305-02-0028-3
;以及其中該第二光酸產生劑具有下述兩個鍵結在一起的化學單 元:
Figure 106141351-A0305-02-0029-4
塗佈該光阻溶液於一基板上以形成一光阻層,該光阻層具有遠離該基板的一上表面及面對該基板的一下表面,其中塗佈該光阻溶液係使該第一光酸產生劑自該光阻層的該上表面至該下表面具有一致的分佈,該第二光酸產生劑自該光阻層的該上表面至該下表面具有逐漸增加的漸變濃度;對該光阻層進行一曝光製程;以及對該光阻層進行一顯影製程,以形成一圖案化光阻層。
A method of lithography patterning includes: providing a photoresist solution, wherein the photoresist solution includes a first polymer, a second polymer, a first photoacid generator, and a photoresist A second photoacid generator with different composition is mixed in a solvent, wherein the chemical formula of the first polymer is:
Figure 106141351-A0305-02-0028-1
And the chemical formula of the second polymer is:
Figure 106141351-A0305-02-0028-2
X, Y, and Z are positive integers; wherein, the first photoacid generator includes the following two chemical units bonded together:
Figure 106141351-A0305-02-0028-3
; And wherein the second photoacid generator has the following two chemical units bonded together:
Figure 106141351-A0305-02-0029-4
The photoresist solution is coated on a substrate to form a photoresist layer. The photoresist layer has an upper surface away from the substrate and a lower surface facing the substrate. The application of the photoresist solution makes the first The photoacid generator has a uniform distribution from the upper surface to the lower surface of the photoresist layer, and the second photoacid generator has a gradually increasing concentration from the upper surface to the lower surface of the photoresist layer; An exposure process is performed on the photoresist layer; and a development process is performed on the photoresist layer to form a patterned photoresist layer.
如申請專利範圍第1項所述之微影圖案化的方法,其中該第二聚合物自該光阻層的該上表面至該下表面具有逐漸減少的漸變濃度。 According to the method of lithographic patterning described in claim 1, wherein the second polymer has a gradually decreasing concentration from the upper surface to the lower surface of the photoresist layer. 如申請專利範圍第1項所述之微影圖案化的方法,其中該第一聚合物自該光阻層的該上表面至該下表面具有逐漸增加的第一漸變濃度;以及該第二聚合物自該光阻層的該上表面至該下表面具有逐漸減少的第二漸變濃度。 The method of lithography patterning as described in the scope of the patent application, wherein the first polymer has a gradually increasing first gradient concentration from the upper surface to the lower surface of the photoresist layer; and the second polymerization The substance has a second gradual concentration that gradually decreases from the upper surface to the lower surface of the photoresist layer. 如申請專利範圍第3項所述之微影圖案化的方法,其中該第二聚合物的第二分子量大於該第一聚合物的第一分子量。 The method of lithography patterning as described in item 3 of the scope of the patent application, wherein the second molecular weight of the second polymer is greater than the first molecular weight of the first polymer. 一種微影圖案化的方法,包括:形成一光阻層於基板上,其中該光阻層具有遠離該基板的一上表面及面對該基板的一下表面,該光阻層包含一聚合 物、一第一光酸產生劑、與一第二光酸產生劑混合於一溶劑中,其中該第二光酸產生劑與該第一光酸產生劑的組成不同,其中該第一光酸產生劑自該光阻層的該上表面至該下表面具有一致的分佈,該第二光酸產生劑的漸變濃度自該光阻層的該上表面朝該下表面增加,且該第一光酸產生劑包括下述兩個鍵結在一起的化學單元:
Figure 106141351-A0305-02-0030-5
該第二光酸產生劑具有下述兩個鍵結在一起的化學單元:
Figure 106141351-A0305-02-0030-6
對該光阻層進行一曝光工藝;以及顯影該光阻層,以形成一圖案化光阻層。
A method for lithographic patterning includes forming a photoresist layer on a substrate, wherein the photoresist layer has an upper surface away from the substrate and a lower surface facing the substrate, the photoresist layer includes a polymer, A first photo-acid generator and a second photo-acid generator are mixed in a solvent, wherein the second photo-acid generator and the first photo-acid generator have different compositions, and the first photo-acid generator There is a uniform distribution from the upper surface to the lower surface of the photoresist layer, the gradual concentration of the second photoacid generator increases from the upper surface to the lower surface of the photoresist layer, and the first photoacid generates The agent includes the following two chemical units bonded together:
Figure 106141351-A0305-02-0030-5
The second photoacid generator has the following two chemical units bonded together:
Figure 106141351-A0305-02-0030-6
Performing an exposure process on the photoresist layer; and developing the photoresist layer to form a patterned photoresist layer.
如申請專利範圍第5項所述之微影圖案化的方法,其中該光阻層更包含與該聚合物在組成上不同的另一聚合物。 According to the method of lithography patterning described in item 5 of the scope of the patent application, the photoresist layer further includes another polymer that is different in composition from the polymer. 如申請專利範圍第5項所述之微影圖案化的方法,還包括在塗布該光阻層於該基板上之前,形成一富碳底層於該基板上;以及形成一富矽中間層于該富碳底層上。 The method of lithography patterning as described in item 5 of the scope of the patent application further includes forming a carbon-rich underlayer on the substrate before coating the photoresist layer on the substrate; and forming a silicon-rich intermediate layer on the substrate. On the carbon-rich bottom layer. 一種微影圖案化的方法,包括:形成一光阻層於一基板上,該光阻層具有遠離該基板的一上表面及面對該基板的一下表面,其中該光阻層包含一聚合物、一第一光酸產生劑、一第二光酸產生劑、一第一淬息劑、與一第二淬息劑混合於一溶劑中,其中該第二光酸產生劑與該第一光酸產生劑的組成不同,且該第一光酸產生劑自該光阻層的該上表面至該下表面具有一致的分佈,該第二光酸產生劑的漸變濃度自該光阻層的該上表面朝該下表面增加,且該第一光酸產生劑包括下述兩個鍵結在一起的化學單元:
Figure 106141351-A0305-02-0031-7
該第二光酸產生劑具有下述兩個鍵結在一起的化學單元:
Figure 106141351-A0305-02-0031-8
其中該第二淬息劑與該第一淬息劑的組成不同,其中該第二淬息劑與該聚合物的作用力,大於該第一淬息劑與該聚合物的作用力,使該第二淬息劑在該光阻層的底部具有較高濃度,且在該光阻層的頂部具有較低濃度,該第一淬息 劑包括下述兩個鍵結在一起的化學單元:
Figure 106141351-A0305-02-0032-9
該第二淬息劑包括下述兩個鍵結在一起的化學單元:
Figure 106141351-A0305-02-0032-10
對該光阻層進行一曝光工藝,以及顯影該光阻層以形成一圖案化光阻層。
A method for lithographic patterning includes forming a photoresist layer on a substrate, the photoresist layer having an upper surface away from the substrate and a lower surface facing the substrate, wherein the photoresist layer includes a polymer , A first photoacid generator, a second photoacid generator, a first quenching agent, and a second quenching agent are mixed in a solvent, wherein the second photoacid generator and the first photoacid generator The composition of the acid generator is different, and the first photoacid generator has a uniform distribution from the upper surface to the lower surface of the photoresist layer, and the gradual concentration of the second photoacid generator is from the photoresist layer. The upper surface increases toward the lower surface, and the first photoacid generator includes the following two chemical units bonded together:
Figure 106141351-A0305-02-0031-7
The second photoacid generator has the following two chemical units bonded together:
Figure 106141351-A0305-02-0031-8
The composition of the second quenching agent is different from that of the first quenching agent, and the force between the second quenching agent and the polymer is greater than the force between the first quenching agent and the polymer, so that the The second quenching agent has a higher concentration at the bottom of the photoresist layer and a lower concentration at the top of the photoresist layer, and the first quenching agent includes the following two chemical units bonded together:
Figure 106141351-A0305-02-0032-9
The second quenching agent includes the following two chemical units bonded together:
Figure 106141351-A0305-02-0032-10
An exposure process is performed on the photoresist layer, and the photoresist layer is developed to form a patterned photoresist layer.
如申請專利範圍第8項所述之微影圖案化的方法,其中該第二淬息劑的漸變濃度自該光阻層的下表面朝上表面增加。 According to the method of lithography patterning described in item 8 of the scope of patent application, the gradual concentration of the second quenching agent increases from the lower surface to the upper surface of the photoresist layer. 如申請專利範圍第8項所述之微影圖案化的方法,其中該光阻層中的該第一淬息劑具有一致分佈。 According to the method of lithography patterning described in item 8 of the scope of patent application, the first quenching agent in the photoresist layer has a uniform distribution.
TW106141351A 2016-11-29 2017-11-28 Method for lithography patterning TWI748007B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662427533P 2016-11-29 2016-11-29
US62/427,533 2016-11-29
US15/723,582 2017-10-03
US15/723,582 US11112698B2 (en) 2016-11-29 2017-10-03 Photoresist with gradient composition for improved uniformity

Publications (2)

Publication Number Publication Date
TW201824349A TW201824349A (en) 2018-07-01
TWI748007B true TWI748007B (en) 2021-12-01

Family

ID=62190413

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106141351A TWI748007B (en) 2016-11-29 2017-11-28 Method for lithography patterning

Country Status (3)

Country Link
US (1) US11112698B2 (en)
CN (1) CN108333866B (en)
TW (1) TWI748007B (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11320742B2 (en) * 2018-10-31 2022-05-03 Taiwan Semiconductor Manufacturing Company Ltd. Method and system for generating photomask patterns
CN113495430B (en) * 2020-04-07 2023-09-26 芯恩(青岛)集成电路有限公司 Photoresist patterning method and photoresist stripping method
CN116263563A (en) * 2021-12-13 2023-06-16 长鑫存储技术有限公司 Photoresist layer processing method and photoresist layer

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080193872A1 (en) * 2006-10-30 2008-08-14 Rohm And Haas Electronic Materials Llc Compositions and processes for immersion lithography
TW201003316A (en) * 2008-05-21 2010-01-16 Korea Kumho Petrochem Co Ltd Acid generating agent for chemically amplified resist compositions
US20110104612A1 (en) * 2008-07-15 2011-05-05 Jsr Corporation Positive-type radiation-sensitive composition, and resist pattern formation method
US20150241782A1 (en) * 2014-02-25 2015-08-27 Tokyo Electeron Limited Chemical Amplification Methods and Techniques for Developable Bottom Anti-reflective Coatings and Dyed Implant Resists

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030021907A (en) * 2001-09-10 2003-03-15 삼성전자주식회사 Photosensitive polymer including pyranyl ether having fluorine substituted alkyl ester and resist composition comprising the same
JP4861767B2 (en) * 2005-07-26 2012-01-25 富士フイルム株式会社 Positive resist composition and pattern forming method using the same
US7951524B2 (en) * 2006-04-28 2011-05-31 International Business Machines Corporation Self-topcoating photoresist for photolithography
KR20110133065A (en) * 2007-02-15 2011-12-09 샌트랄 글래스 컴퍼니 리미티드 Compound for photoacid generator, resist composition using the same, and pattern-forming method
JP5186255B2 (en) * 2007-03-20 2013-04-17 富士フイルム株式会社 Resin surface hydrophobizing resin, method for producing the same, and positive resist composition containing the resin
JP2009175363A (en) * 2008-01-23 2009-08-06 Tokyo Ohka Kogyo Co Ltd Resist composition for liquid immersion lithography, resist pattern forming method and fluorine-containing copolymer
US8039195B2 (en) * 2008-02-08 2011-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Si device making method by using a novel material for packing and unpacking process
TW201030464A (en) * 2008-11-26 2010-08-16 Jsr Corp Radiation-sensitive resin composition
JP5618557B2 (en) * 2010-01-29 2014-11-05 富士フイルム株式会社 Actinic ray-sensitive or radiation-sensitive resin composition, and pattern formation method using the composition
JP5795481B2 (en) * 2010-03-05 2015-10-14 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC Method for forming a photolithographic pattern
JP5723744B2 (en) * 2011-10-27 2015-05-27 富士フイルム株式会社 Pattern forming method, laminated resist pattern, laminated film for organic solvent development, resist composition, method for producing electronic device, and electronic device
US9213234B2 (en) 2012-06-01 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photosensitive material and method of lithography
US9256133B2 (en) 2012-07-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for developing process
US9028915B2 (en) 2012-09-04 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a photoresist layer
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9012132B2 (en) 2013-01-02 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Coating material and method for photolithography
US9223220B2 (en) 2013-03-12 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photo resist baking in lithography process
US9146469B2 (en) 2013-03-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Middle layer composition for trilayer patterning stack
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
JP6271150B2 (en) * 2013-04-26 2018-01-31 富士フイルム株式会社 Pattern forming method, composition kit, and electronic device manufacturing method
JP6116358B2 (en) * 2013-05-16 2017-04-19 富士フイルム株式会社 Pattern forming method and electronic device manufacturing method
JP6304246B2 (en) * 2013-05-24 2018-04-04 Jsr株式会社 Radiation-sensitive resin composition and resist pattern forming method
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9536759B2 (en) 2015-05-29 2017-01-03 Taiwan Semiconductor Manufacturing Co., Ltd Baking apparatus and method

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080193872A1 (en) * 2006-10-30 2008-08-14 Rohm And Haas Electronic Materials Llc Compositions and processes for immersion lithography
TW201003316A (en) * 2008-05-21 2010-01-16 Korea Kumho Petrochem Co Ltd Acid generating agent for chemically amplified resist compositions
US20110104612A1 (en) * 2008-07-15 2011-05-05 Jsr Corporation Positive-type radiation-sensitive composition, and resist pattern formation method
US20150241782A1 (en) * 2014-02-25 2015-08-27 Tokyo Electeron Limited Chemical Amplification Methods and Techniques for Developable Bottom Anti-reflective Coatings and Dyed Implant Resists

Also Published As

Publication number Publication date
TW201824349A (en) 2018-07-01
CN108333866A (en) 2018-07-27
CN108333866B (en) 2022-09-30
US11112698B2 (en) 2021-09-07
US20180151351A1 (en) 2018-05-31

Similar Documents

Publication Publication Date Title
US11822238B2 (en) Extreme ultraviolet photolithography method with developer composition
US10281819B2 (en) Silicon-containing photoresist for lithography
TWI706224B (en) Method for lithography patterning and photoresist
US8753797B2 (en) Surface-modified middle layers
TWI748007B (en) Method for lithography patterning
US20100173247A1 (en) Substrate planarization with imprint materials and processes
US11809080B2 (en) Extreme ultraviolet photoresist with high-efficiency electron transfer
TW201900593A (en) Lithography method
TW201824346A (en) Method of semiconductor device fabrication
TWI737856B (en) Method for lithography patterning
US11003076B2 (en) Extreme ultraviolet photoresist and method
TWI694309B (en) Method of manufacturing semiconductor device
TWI747942B (en) Method of semiconductor device fabrication
TW202117447A (en) Negative tone photoresist and developing method thereof