CN110619137A - Time sequence analysis method aiming at voltage drop and application - Google Patents

Time sequence analysis method aiming at voltage drop and application Download PDF

Info

Publication number
CN110619137A
CN110619137A CN201910551842.9A CN201910551842A CN110619137A CN 110619137 A CN110619137 A CN 110619137A CN 201910551842 A CN201910551842 A CN 201910551842A CN 110619137 A CN110619137 A CN 110619137A
Authority
CN
China
Prior art keywords
voltage drop
value
ocv
threshold
drop threshold
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201910551842.9A
Other languages
Chinese (zh)
Other versions
CN110619137B (en
Inventor
孙一
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Eye Core Technology (shanghai) Co Ltd
Original Assignee
Eye Core Technology (shanghai) Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Eye Core Technology (shanghai) Co Ltd filed Critical Eye Core Technology (shanghai) Co Ltd
Priority to CN201910551842.9A priority Critical patent/CN110619137B/en
Publication of CN110619137A publication Critical patent/CN110619137A/en
Application granted granted Critical
Publication of CN110619137B publication Critical patent/CN110619137B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Abstract

The invention discloses a time sequence analysis method aiming at voltage drop and application thereof, and relates to the technical field of integrated circuit design. The method comprises the following steps: setting a first voltage drop threshold and a second voltage drop threshold; the power consumption analysis tool acquires a voltage drop violation point according to the post-simulation waveform and judges whether the voltage drop of the voltage drop violation point is between a first voltage drop threshold and a second voltage drop threshold; if yes, the OCV values of the unit library under different state angles are obtained through query based on a preset voltage drop lookup table, and then a delay change value of each unit caused by voltage drop is calculated; and reversely marking each value into a time sequence analysis tool, carrying out STA analysis, judging whether a new time sequence violation occurs, carrying out physical repair when the new time sequence violation occurs, and otherwise, ending. The invention can greatly reduce points needing physical repair, can obviously reduce iteration times and quickly reach the acceptance standard.

Description

Time sequence analysis method aiming at voltage drop and application
Technical Field
The invention relates to the technical field of integrated circuit design.
Background
With the mainstream development of very large scale integrated circuits entering 16/12nm or even lower processes, power consumption becomes a key factor restricting the development of integrated circuits, and reducing the working voltage of a chip is always the most effective low power consumption technology, so that the working voltage is closer to the threshold voltage, and the influence of the voltage on timing analysis is more and more important.
For chip Voltage drop (IR drop), the prior art generally reserves a margin for chip timing by reserving Voltage on-chip variations (OCV) and clock uncertainty (clock uncertainty). However, the above-mentioned reserved margin is usually designed for a convergence standard for voltage fluctuation of about 10%, and in actual power consumption analysis, it is found that the operating voltage of an individual cell (std cell) often exceeds 10% fluctuation; on the other hand, cells with excessive external voltage drop tend to be area-specific, and overly pessimistic if they are tailored to the pessimistic unified voltage drop timing closure criteria for the full chip.
For the above problems, the following methods are generally adopted at present: firstly, finding out points where voltage drops exceed a convergence standard, and repairing the points with overlarge voltage drops through physical implementation; secondly, a abandon (drive) mechanism is established, timing analysis is carried out on some units which are not on the critical path, and abandon is carried out under the condition that enough timing margins are confirmed. The above method has the following defects: firstly, if physical repair is directly carried out, a new timing sequence problem can be introduced while voltage drop is repaired, and iteration times are increased; secondly, the adoption of a waive mechanism is not accurate enough, and the voltage drop on the clock path can only be estimated approximately considering the possibility that a plurality of cells (stdcell) on the same time sequence path have voltage drop. Therefore, a timing analysis method for voltage drop is needed to provide more accurate and reliable timing analysis.
Disclosure of Invention
The invention aims to: the defects of the prior art are overcome, and a time sequence analysis method and application for voltage drop are provided. The invention can inversely mark the delay change caused by the voltage drop into a real static time sequence analysis environment, all state angles can analyze the time sequence change caused by the voltage drop, and the time sequence analysis is more accurate and reliable. The point with larger voltage drop is considered to be repaired when the point accords with the preset rule, so that the points needing physical repair are greatly reduced, the iteration times can be obviously reduced, and the acceptance standard can be quickly reached.
In order to achieve the above object, the present invention provides the following technical solutions:
a method of timing analysis for voltage drops, comprising the steps of:
setting a first voltage drop threshold and a second voltage drop threshold; the first voltage drop threshold is set based on a preset sign-in standard, and the second voltage drop threshold is larger than the first voltage drop threshold;
acquiring the information of the acquired simulated waveform, acquiring a voltage drop violation point by a power consumption analysis tool according to the acquired simulated waveform, and judging whether the voltage drop of the voltage drop violation point is between a first voltage drop threshold and a second voltage drop threshold;
if yes, based on a preset voltage drop lookup table, searching and obtaining OCV values of the unit library under different state angles, obtaining an OCV difference value delta _ OCV between the OCV value of the second voltage drop threshold and the OCV value of the first voltage drop threshold, and then calculating a delay change value of each unit caused by voltage drop; value _ delay (delta _ OCV + guardband)%, wherein the cell _ delay is the original delay value of the corresponding unit, the delta _ OCV is the OCV difference value, and the guardband is the reserved OCV value for the over protection;
and reversely marking each value into a time sequence analysis tool, carrying out STA analysis, judging whether a new time sequence violation occurs, carrying out physical repair when the new time sequence violation occurs, and otherwise, ending.
And further, when the voltage drop of the voltage drop violation point is judged to exceed the second voltage drop threshold, the voltage drop violation point is physically repaired.
Further, according to a preset sign-in standard, reserving a margin for a chip time sequence according to the voltage drop on-chip variation degree corresponding to the first voltage drop threshold, and when the voltage drop of the voltage drop violation point is judged to be smaller than the first voltage drop threshold, no physical repair is needed.
Further, the first voltage drop threshold is set to 10% and the second voltage drop threshold is set to 20%.
Further, the voltage drop lookup table is a TSMC voltage drop lookup table.
Further, the cell _ delay value is obtained through a time sequence analysis tool; the guardland values were obtained by analyzing the OCV values for the different state angles.
Further, a larger guardband value is reserved for units on the clock path compared to units on the data path to increase protection.
Further, the value is back-scaled into the timing analysis tool in such a way that it is added to the line delay of the output port of each voltage drop unit.
The invention also provides a time sequence acceptance system aiming at the voltage drop, which comprises the following structures:
the information setting module is used for setting a first voltage drop threshold value and a second voltage drop threshold value; the first voltage drop threshold is set based on a preset sign-in standard, and the second voltage drop threshold is larger than the first voltage drop threshold;
the information analysis module is used for acquiring the information of the post-simulation waveform, acquiring a voltage drop violation point according to the post-simulation waveform, and judging whether the voltage drop of the voltage drop violation point is between a first voltage drop threshold and a second voltage drop threshold; if yes, based on a preset voltage drop lookup table, searching and obtaining OCV values of the unit library under different state angles, obtaining an OCV difference value delta _ OCV between the OCV value of the second voltage drop threshold and the OCV value of the first voltage drop threshold, and then calculating a delay change value of each unit caused by voltage drop; value _ delay (delta _ OCV + guardband)%, wherein the cell _ delay is the original delay value of the corresponding unit, the delta _ OCV is the OCV difference value, and the guardband is the reserved OCV value for the over protection;
and the information processing module is used for reversely marking each value into the time sequence analysis tool, performing STA analysis, judging whether a new time sequence violation occurs, performing physical repair when the new time sequence violation occurs, and otherwise, ending.
Due to the adoption of the technical scheme, compared with the prior art, the invention has the following advantages and positive effects as examples: 1) the delay change caused by the voltage drop can be inversely marked in a real STA (static timing analysis) environment, all state angles (Corner) can analyze the timing change caused by the voltage drop, and the timing analysis is more accurate and reliable. 2) On the other hand, for points with large voltage drop, the whole voltage drop convergence analysis is carried out, and the repairing is considered only under the condition that the setup time/hold time (setup/hold time) has new time sequence violation, so that the points needing physical repairing can be greatly reduced, the iteration times can be obviously reduced, and the acceptance standard can be quickly reached.
Drawings
Fig. 1 is a flowchart of a timing analysis method for voltage drop according to an embodiment of the present invention.
Fig. 2 is a block diagram of a system according to an embodiment of the present invention.
Detailed Description
The timing analysis method and application for voltage drop disclosed in the present invention are further described in detail with reference to the accompanying drawings and specific embodiments. It should be noted that technical features or combinations of technical features described in the following embodiments should not be considered as being isolated, and they may be combined with each other to achieve better technical effects. In the drawings of the embodiments described below, the same reference numerals appearing in the respective drawings denote the same features or components, and may be applied to different embodiments. Thus, once an item is defined in one drawing, it need not be further discussed in subsequent drawings.
It should be noted that the structures, proportions, sizes, and other dimensions shown in the drawings and described in the specification are only for the purpose of understanding and reading the present disclosure, and are not intended to limit the scope of the invention, which is defined by the claims, and any modifications of the structures, changes in the proportions and adjustments of the sizes and other dimensions, should be construed as falling within the scope of the invention unless the function and objectives of the invention are affected. The scope of the preferred embodiments of the present invention includes additional implementations in which functions may be executed out of order from that described or discussed, including substantially concurrently or in reverse order, depending on the functionality involved, as would be understood by those reasonably skilled in the art of the embodiments of the present invention.
Techniques, methods, and apparatus known to those of ordinary skill in the relevant art may not be discussed in detail but are intended to be part of the specification where appropriate. In all examples shown and discussed herein, any particular value should be construed as merely illustrative, and not limiting. Thus, other examples of the exemplary embodiments may have different values.
Examples
Referring to fig. 1, there is provided a timing analysis method for voltage drop, including the steps of:
s100, setting a first voltage drop threshold value and a second voltage drop threshold value.
The first voltage drop threshold is set based on a preset sign-in criterion. In this embodiment, according to a signature criterion (timing convergence criterion) commonly used in the prior art, the first voltage drop threshold is set to 10%, that is, the allowable voltage fluctuation is within 10%.
In specific implementation, according to the sign-in standard, a voltage on-chip variation (voltage OCV), timing iteration and convergence are reserved for a voltage drop of 10%, and corresponding netlist, DEF, SPEF and other files are output, and the files are used by a power consumption analysis tool (such as rednawk) and a timing analysis tool (Primetime, abbreviated as PT).
The second voltage drop threshold is greater than the first voltage drop threshold. The designer may perform adaptive setting according to actual needs, for example, may obtain the fluctuation value of the operating voltage of all the cells (std cells), and the second voltage drop threshold is set to be more than 90% of the fluctuation value of the operating voltage of the cells. In this embodiment, the second voltage drop threshold is set to 20%.
S200, acquiring the information of the post-simulation waveform, acquiring a voltage drop violation point by the power consumption analysis tool according to the post-simulation waveform, and judging whether the voltage drop of the voltage drop violation point is between a first voltage drop threshold and a second voltage drop threshold.
And the post-simulation refers to that after the layout design is finished, parasitic parameters and interconnection delay are inversely labeled into the extracted circuit netlist for simulation, and the circuit is analyzed to ensure that the circuit meets the design requirement. Acquiring a rear simulation waveform output by a rear simulation verification worker, and intercepting a waveform time period with the maximum consumption POWER (MAX _ POWER); the waveform and information such as netlist, DEF, SPEF, etc. are read into a power consumption analysis tool, and an analysis result is output, wherein points where the voltage drop exceeds 10% are listed in the analysis result. Because for the point that the voltage drop is less than 10%, the allowance can be reserved for the chip time sequence according to the preset sign-in standard and the variation degree on the voltage drop reserved voltage sheet of 10%, the point does not need to be physically repaired and is ensured by the established sign-in standard.
For points where the voltage drop exceeds 20%, physical repair is performed directly.
S300, for the point where the voltage drop is between 10% and 20%, the following steps are performed.
Obtaining OCV values of the cell library under different state angles based on a preset voltage drop lookup table, obtaining an OCV difference value delta _ OCV between the OCV value of the second voltage drop threshold and the OCV value of the first voltage drop threshold, and then calculating a delay change value of each cell caused by voltage drop; value 11_ delay (delta _ OCV + guardband)%, wherein the cell _ delay is the original delay value of the corresponding unit, the delta _ OCV is the aforementioned OCV difference value, and the guardband is the reserved OCV value for the over protection.
The voltage drop lookup table may be provided by a chip manufacturing company. In this embodiment, a TSMC voltage drop look-up table (from taijie corporation) is preferred. For example, and without limitation, according to the TSMC voltage drop lookup table, the IR drop (voltage drop) lookup table of the T30BWP40P140ULVT unit at the state angle of ssg0P81vm40c is shown as the following table:
the OCV difference delta _ OCV of the OCV value of the second voltage drop threshold value 20% from the OCV value of the first voltage drop threshold value 10% may be calculated to be 4.2-2.2-2.
A program is written in the timing analysis tool to calculate a cell delay value (cell _ delay value) for the voltage drop cell. By way of example and not limitation, the code to calculate the cell delay value of the voltage drop cell may be as follows:
then, a delay variation value of each cell caused by the voltage drop is calculated by a calculation formula. The calculation formula is set such that the change in retardation due to voltage drop is% of the retardation value of the original unit (voltage drop OCV difference + over-protection reserved OCV value).
That is, value _ cell _ delay (delta _ ocv + guardband)%;
in the formula, the cell _ delay is an original delay value of each unit and can be obtained by a timing analysis tool;
delta _ OCV is the aforementioned OCV difference;
the guardband reserves OCV values for over-protection, which can be obtained by analyzing the OCV values of different state angles. The specific facility, can be based on analyzing the voltage drop look-up table. By way of example, and not limitation, the following tables are analyzed:
it is noted that the difference between tt1v25c and ffg1p05vm40c at 20% is 5.1-4.5 equal to 0.6, which is less than 1 for different processes by analyzing different cell libraries. For over-protection, the guardband value may be set to 1%.
Meanwhile, based on design experience, a larger guardband value may be reserved for units on the clock path than for units on the data path to increase protection. By way of example and not limitation, such as for a clock signal, the guardband value is set to 2% to leave some more margin.
And S400, reversely marking each value into a time sequence analysis tool, carrying out STA analysis, judging whether a new time sequence violation occurs, carrying out physical repair when the new time sequence violation occurs, and otherwise, ending.
In this embodiment, the value is back-scaled to the timing analysis tool in such a way that the value is added to the line delay of the output port of each voltage drop unit. In particular, the value of each value can be automatically back-marked into the time sequence analysis tool through a writing program.
Then, STA analysis (static timing analysis) is performed. After the time sequence analysis is finished, if a new time sequence violation occurs, performing physical repair; and if no new timing violation occurs, considering that the timing after the voltage drop meets the requirement, and ending.
In another embodiment of the invention, a timing acceptance system for voltage drop is also provided.
Referring to fig. 2, the system includes the following structure: the device comprises an information setting module, an information analysis module and an information processing module.
The information setting module is used for setting a first voltage drop threshold value and a second voltage drop threshold value; the first voltage drop threshold is set based on a preset sign-in criterion, and the second voltage drop threshold is greater than the first voltage drop threshold.
The information analysis module is used for acquiring the information of the post-simulation waveform, acquiring a voltage drop violation point according to the post-simulation waveform, and judging whether the voltage drop of the voltage drop violation point is between a first voltage drop threshold and a second voltage drop threshold; if yes, based on a preset voltage drop lookup table, searching and obtaining OCV values of the unit library under different state angles, obtaining an OCV difference value delta _ OCV between the OCV value of the second voltage drop threshold and the OCV value of the first voltage drop threshold, and then calculating a delay change value of each unit caused by voltage drop; and value ═ cell-delay (delta _ OCV + guardband)%, wherein the cell-delay is the original delay value of the corresponding unit, the delta _ OCV is the OCV difference value, and the guardband is the reserved OCV value for the over protection.
And the information processing module is used for reversely marking each value into the time sequence analysis tool, performing STA analysis, judging whether a new time sequence violation occurs, performing physical repair when the new time sequence violation occurs, and otherwise, ending.
Other technical features are described in the previous embodiment and are not described in detail herein.
In the foregoing description, the disclosure of the present invention is not intended to limit itself to these aspects. Rather, the various components may be selectively and operatively combined in any number within the intended scope of the present disclosure. In addition, terms like "comprising," "including," and "having" should be interpreted as inclusive or open-ended, rather than exclusive or closed-ended, by default, unless explicitly defined to the contrary. All technical, scientific, or other terms used herein have the same meaning as commonly understood by one of ordinary skill in the art to which this invention belongs unless defined otherwise. Common terms found in dictionaries should not be interpreted too ideally or too realistically in the context of related art documents unless the present disclosure expressly limits them to that. Any changes and modifications of the present invention based on the above disclosure will be within the scope of the appended claims.

Claims (9)

1. A method for timing analysis of voltage drops, comprising the steps of:
setting a first voltage drop threshold and a second voltage drop threshold; the first voltage drop threshold is set based on a preset sign-in standard, and the second voltage drop threshold is larger than the first voltage drop threshold;
acquiring the information of the acquired simulated waveform, acquiring a voltage drop violation point by a power consumption analysis tool according to the acquired simulated waveform, and judging whether the voltage drop of the voltage drop violation point is between a first voltage drop threshold and a second voltage drop threshold;
if the current state angle is determined to be yes, obtaining OCV values of the unit library under different state angles based on a preset voltage drop lookup table, obtaining an OCV difference value delta _ OCV between the OCV value of the second voltage drop threshold and the OCV value of the first voltage drop threshold, and then calculating a delay change value of each unit caused by voltage drop, wherein the value is cell _ delay (delta _ OCV + guardband)%, in the formula, the cell _ delay is the original delay value of the corresponding unit, the delta _ OCV is the OCV difference value, and the guardband is a reserved OCV value for over-protection;
and reversely marking each value into a time sequence analysis tool, carrying out STA analysis, judging whether a new time sequence violation occurs, carrying out physical repair when the new time sequence violation occurs, and otherwise, ending.
2. The method of claim 1, wherein: and when the voltage drop of the voltage drop violation point is judged to exceed the second voltage drop threshold value, carrying out physical repair on the voltage drop violation point.
3. The method of claim 2, wherein: and reserving allowance for the chip time sequence according to the preset sign-in standard and the voltage drop reserved voltage on-chip variation degree corresponding to the first voltage drop threshold, wherein physical repair is not needed when the voltage drop of the voltage drop violation point is judged to be smaller than the first voltage drop threshold.
4. A method according to claim 1 or 2 or 3, characterized in that: the first voltage drop threshold is set to 10% and the second voltage drop threshold is set to 20%.
5. The method of claim 1, wherein: the voltage drop lookup table is a TSMC voltage drop lookup table.
6. The method of claim 1, wherein: the cell _ delay value is obtained through a time sequence analysis tool; the guardland values were obtained by analyzing the OCV values for the different state angles.
7. The method of claim 6, wherein: larger guardband values are reserved for units on the clock path compared to units on the data path to increase protection.
8. The method of claim 1, wherein: the value is back-scaled into the timing analysis tool by adding it to the line delay of the output port of each voltage drop cell.
9. A time series acceptance system for voltage drop, comprising the structure:
the information setting module is used for setting a first voltage drop threshold value and a second voltage drop threshold value; the first voltage drop threshold is set based on a preset sign-in standard, and the second voltage drop threshold is larger than the first voltage drop threshold;
the information analysis module is used for acquiring the information of the post-simulation waveform, acquiring a voltage drop violation point according to the post-simulation waveform, and judging whether the voltage drop of the voltage drop violation point is between a first voltage drop threshold and a second voltage drop threshold; if yes, based on a preset voltage drop lookup table, searching and obtaining OCV values of the unit library under different state angles, obtaining an OCV difference value delta _ OCV between the OCV value of the second voltage drop threshold and the OCV value of the first voltage drop threshold, and then calculating a delay change value of each unit caused by voltage drop; value _ delay (delta _ OCV + guardband)%, wherein the cell _ delay is the original delay value of the corresponding unit, the delta _ OCV is the OCV difference value, and the guardband is the reserved OCV value for the over protection;
and the information processing module is used for reversely marking each value into the time sequence analysis tool, performing STA analysis, judging whether a new time sequence violation occurs, performing physical repair when the new time sequence violation occurs, and otherwise, ending.
CN201910551842.9A 2019-06-25 2019-06-25 Time sequence analysis method aiming at voltage drop and application Active CN110619137B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201910551842.9A CN110619137B (en) 2019-06-25 2019-06-25 Time sequence analysis method aiming at voltage drop and application

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201910551842.9A CN110619137B (en) 2019-06-25 2019-06-25 Time sequence analysis method aiming at voltage drop and application

Publications (2)

Publication Number Publication Date
CN110619137A true CN110619137A (en) 2019-12-27
CN110619137B CN110619137B (en) 2022-12-02

Family

ID=68921666

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201910551842.9A Active CN110619137B (en) 2019-06-25 2019-06-25 Time sequence analysis method aiming at voltage drop and application

Country Status (1)

Country Link
CN (1) CN110619137B (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112069752A (en) * 2020-09-29 2020-12-11 上海兆芯集成电路有限公司 Static timing analysis method and device
CN112115676A (en) * 2020-09-29 2020-12-22 天津飞腾信息技术有限公司 Static voltage drop repairing method, device, equipment and storage medium
CN112289697A (en) * 2020-10-20 2021-01-29 上海兆芯集成电路有限公司 Verification method
CN115618782A (en) * 2022-12-20 2023-01-17 中国人民解放军国防科技大学 Method and device for physically realizing local voltage reduction integrated circuit and computer equipment
CN117272889A (en) * 2023-08-28 2023-12-22 海光云芯集成电路设计(上海)有限公司 Circuit delay estimation method and device, electronic equipment and storage medium

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080127020A1 (en) * 2006-10-25 2008-05-29 Dan Rittman System and method for automatic elimination of voltage drop, also known as IR drop, violations of a mask layout block, maintaining the process design rules correctness
JP2011204086A (en) * 2010-03-26 2011-10-13 Renesas Electronics Corp Method and device for laying out power wiring of semiconductor device
CN102332048A (en) * 2011-10-27 2012-01-25 山东华芯半导体有限公司 Method for automatically parallelly restoring retention time exception through single nodes in process of designing integrated circuit
US20120240087A1 (en) * 2011-03-18 2012-09-20 Mau-Chung Chang Voltage Drop Effect On Static Timing Analysis For Multi-Phase Sequential Circuit
CN103632001A (en) * 2013-11-27 2014-03-12 中国人民解放军国防科学技术大学 Retention time sequence optimization method based on multiplexing of buffer unit
US20150169819A1 (en) * 2013-12-13 2015-06-18 Taiwan Semiconductor Manufacturing Co., Ltd. Design rule checking for confining waveform induced constraint variation in static timing analysis
CN105488287A (en) * 2015-12-04 2016-04-13 上海兆芯集成电路有限公司 Time sequence correction method and electronic device
CN106066919A (en) * 2016-06-13 2016-11-02 中国科学院微电子研究所 It is applied to the SSTA method of near/subthreshold value digital circuit
CN108170956A (en) * 2017-12-28 2018-06-15 佛山中科芯蔚科技有限公司 The sequential signing method and device of a kind of retention time
CN109376467A (en) * 2018-11-19 2019-02-22 武汉工程大学 Clocktree layout's flow and method and Clock Tree offset compensation device in integrated circuit
CN109583103A (en) * 2018-12-04 2019-04-05 珠海市微半导体有限公司 A kind of time sequence repairing method based on time margin
CN109783984A (en) * 2019-02-19 2019-05-21 中国人民解放军国防科技大学 Layout and wiring method suitable for increasing CPU core frequency
CN109902318A (en) * 2017-12-07 2019-06-18 展讯通信(上海)有限公司 The method and apparatus of generation standard delay formatted file

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080127020A1 (en) * 2006-10-25 2008-05-29 Dan Rittman System and method for automatic elimination of voltage drop, also known as IR drop, violations of a mask layout block, maintaining the process design rules correctness
JP2011204086A (en) * 2010-03-26 2011-10-13 Renesas Electronics Corp Method and device for laying out power wiring of semiconductor device
US20120240087A1 (en) * 2011-03-18 2012-09-20 Mau-Chung Chang Voltage Drop Effect On Static Timing Analysis For Multi-Phase Sequential Circuit
CN102332048A (en) * 2011-10-27 2012-01-25 山东华芯半导体有限公司 Method for automatically parallelly restoring retention time exception through single nodes in process of designing integrated circuit
CN103632001A (en) * 2013-11-27 2014-03-12 中国人民解放军国防科学技术大学 Retention time sequence optimization method based on multiplexing of buffer unit
US20150169819A1 (en) * 2013-12-13 2015-06-18 Taiwan Semiconductor Manufacturing Co., Ltd. Design rule checking for confining waveform induced constraint variation in static timing analysis
CN105488287A (en) * 2015-12-04 2016-04-13 上海兆芯集成电路有限公司 Time sequence correction method and electronic device
CN106066919A (en) * 2016-06-13 2016-11-02 中国科学院微电子研究所 It is applied to the SSTA method of near/subthreshold value digital circuit
CN109902318A (en) * 2017-12-07 2019-06-18 展讯通信(上海)有限公司 The method and apparatus of generation standard delay formatted file
CN108170956A (en) * 2017-12-28 2018-06-15 佛山中科芯蔚科技有限公司 The sequential signing method and device of a kind of retention time
CN109376467A (en) * 2018-11-19 2019-02-22 武汉工程大学 Clocktree layout's flow and method and Clock Tree offset compensation device in integrated circuit
CN109583103A (en) * 2018-12-04 2019-04-05 珠海市微半导体有限公司 A kind of time sequence repairing method based on time margin
CN109783984A (en) * 2019-02-19 2019-05-21 中国人民解放军国防科技大学 Layout and wiring method suitable for increasing CPU core frequency

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112069752A (en) * 2020-09-29 2020-12-11 上海兆芯集成电路有限公司 Static timing analysis method and device
CN112115676A (en) * 2020-09-29 2020-12-22 天津飞腾信息技术有限公司 Static voltage drop repairing method, device, equipment and storage medium
CN112115676B (en) * 2020-09-29 2021-10-26 飞腾信息技术有限公司 Static voltage drop repairing method, device, equipment and storage medium
CN112069752B (en) * 2020-09-29 2022-09-27 上海兆芯集成电路有限公司 Static timing analysis method and device
CN112289697A (en) * 2020-10-20 2021-01-29 上海兆芯集成电路有限公司 Verification method
CN115618782A (en) * 2022-12-20 2023-01-17 中国人民解放军国防科技大学 Method and device for physically realizing local voltage reduction integrated circuit and computer equipment
CN117272889A (en) * 2023-08-28 2023-12-22 海光云芯集成电路设计(上海)有限公司 Circuit delay estimation method and device, electronic equipment and storage medium
CN117272889B (en) * 2023-08-28 2024-04-30 海光云芯集成电路设计(上海)有限公司 Circuit delay estimation method and device, electronic equipment and storage medium

Also Published As

Publication number Publication date
CN110619137B (en) 2022-12-02

Similar Documents

Publication Publication Date Title
CN110619137B (en) Time sequence analysis method aiming at voltage drop and application
US9342647B2 (en) Integrated circuit design method and apparatus
US7117466B2 (en) System and method for correlated process pessimism removal for static timing analysis
CN109635488B (en) Method and tool for designing process of panel display integrated circuit
US8117576B2 (en) Method for using an equivalence checker to reduce verification effort in a system having analog blocks
US7784003B2 (en) Estimation of process variation impact of slack in multi-corner path-based static timing analysis
US8079004B2 (en) Efficient exhaustive path-based static timing analysis using a fast estimation technique
US20080127020A1 (en) System and method for automatic elimination of voltage drop, also known as IR drop, violations of a mask layout block, maintaining the process design rules correctness
US20100095259A1 (en) Circuit Timing Analysis Incorporating the Effects of Temperature Inversion
US8656331B1 (en) Timing margins for on-chip variations from sensitivity data
US8769470B2 (en) Timing closure in chip design
KR102436880B1 (en) Method for adjusting a timing derate for static timing analysis
US9892220B2 (en) Method and apparatus for adjusting a timing derate for static timing analysis
US20060090150A1 (en) Method and apparatus for reducing timing pessimism during static timing analysis
US8255859B2 (en) Method and system for verification of multi-voltage circuit design
TW201738789A (en) A computer-readable storage medium and a method for analyzing IR drop and electro migration of an IC
US8407655B2 (en) Fixing design requirement violations in multiple multi-corner multi-mode scenarios
TWI777210B (en) Method for designing context aware circuit
US7350170B2 (en) System and method for memory element characterization
US20080281570A1 (en) Closed-Loop Modeling of Gate Leakage for Fast Simulators
US7043708B2 (en) Intelligent crosstalk delay estimator for integrated circuit design flow
KR100809684B1 (en) verification apparatus for verify the power off effect on Register Transfer Level and modeling method for power off effect
US8707241B2 (en) Performing scenario reduction using a dominance relation on a set of corners
WO2009002301A1 (en) System and method for automatic elimination of voltage drop
CN115204104A (en) Computing device, operating method thereof and computer program product

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
CB02 Change of applicant information

Address after: 201210 floor 8, building 1, No. 298, Xiangke Road, Pudong New Area, Shanghai

Applicant after: MOUXIN TECHNOLOGY (SHANGHAI) Co.,Ltd.

Address before: 201210 room 507, building 1, No. 800, Naxian Road, pilot Free Trade Zone, Pudong New Area, Shanghai

Applicant before: MOUXIN TECHNOLOGY (SHANGHAI) Co.,Ltd.

CB02 Change of applicant information
GR01 Patent grant
GR01 Patent grant