CN110537244B - 以高沉积速率沉积具有低压应力、高的膜稳定性和低收缩率的原硅酸四乙酯厚膜的方法 - Google Patents

以高沉积速率沉积具有低压应力、高的膜稳定性和低收缩率的原硅酸四乙酯厚膜的方法 Download PDF

Info

Publication number
CN110537244B
CN110537244B CN201880026216.1A CN201880026216A CN110537244B CN 110537244 B CN110537244 B CN 110537244B CN 201880026216 A CN201880026216 A CN 201880026216A CN 110537244 B CN110537244 B CN 110537244B
Authority
CN
China
Prior art keywords
range
gas
supplied
flow rate
argon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201880026216.1A
Other languages
English (en)
Other versions
CN110537244A (zh
Inventor
基思·福克斯
乔纳森·丘奇
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN110537244A publication Critical patent/CN110537244A/zh
Application granted granted Critical
Publication of CN110537244B publication Critical patent/CN110537244B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Abstract

一种用于降低二氧化硅膜后退火收缩率的方法包括:将衬底布置在处理室中的衬底支撑件上;将所述处理室中的压强设置为预定压强范围;将所述衬底支撑件的温度设置为预定温度范围;将处理气体混合物供应到气体分配装置。所述处理气体混合物包括TEOS气体、包含氧物质的气体、以及氩气。所述氩气占所述处理气体混合物体积的超过20%。所述方法还包括激励等离子体并将所述膜沉积在所述衬底上。

Description

以高沉积速率沉积具有低压应力、高的膜稳定性和低收缩率 的原硅酸四乙酯厚膜的方法
技术领域
本公开涉及衬底处理系统,并且更具体地涉及用于沉积原硅酸四乙酯(TEOS)膜的系统和方法。
背景技术
这里提供的背景描述是为了总体呈现本公开的背景的目的。在此背景技术部分以及在提交申请时不能确定为现有技术的描述的各方面中描述的范围内的当前指定的发明人的工作既不明确也不暗示地承认是针对本公开的现有技术。
衬底处理系统可用于在诸如半导体晶片之类的衬底上沉积膜。可以在衬底上执行的示例性处理包括但不限于化学气相沉积(CVD)、原子层沉积(ALD)、等离子体增强CVD(PECVD)和等离子体增强ALD(PEALD)。衬底可以布置在衬底处理系统的处理室中的衬底支撑件上,衬底支撑件例如基座、静电卡盘(ESC)等。在处理期间,可以将气体混合物引入处理室,并且可以使用等离子体来增强处理室内的化学反应。
在衬底上沉积二氧化硅(SiO2)膜时,可以使用原硅酸四乙酯(TEOS)前体气体。对于基于TEOS的膜,膜的稳定性和收缩率与沉积温度成线性关系。通常,较高的沉积温度和压缩性更高的刚沉积的膜对应于较高温度下的后沉积整合步骤期间增加的稳定性。一些衬底应用需要相对较厚的TEOS膜。将TEOS膜的应力调整为中度或略微压缩性的。但是,TEOS沉积速率会随着沉积温度的升高而显著降低,从而降低了产量。
发明内容
一种用于降低二氧化硅膜后退火收缩率的方法包括:将衬底布置在处理室中的衬底支撑件上;将所述处理室中的压强设置为预定压强范围;将所述衬底支撑件的温度设置为预定温度范围;将处理气体混合物供应到气体分配装置。所述处理气体混合物包括原硅酸四乙酯(TEOS)气体、包含氧物质的气体、以及氩气。所述氩气占所述处理气体混合物体积的超过20%。所述方法还包括激励等离子体并将所述膜沉积在所述衬底上。
在其他特征中,所述方法包括使所述膜退火。
在其他特征中,所述方法包括将所述TEOS气体、所述包含氧物质的气体、以及所述氩气供应到混合歧管以产生所述处理气体混合物;以及将所述处理气体混合物输送到布置在所述衬底支撑件上方的所述气体分配装置。
在其他特征中,所述方法包括将辅助吹扫气体(a secondary purge gas)供应到所述处理室。所述辅助吹扫气体包括氩气。
其他特征中,所述预定温度范围是从400℃到600℃。所述预定压强范围是2托至4托。所述预定压强范围是3.2托至3.8托。
在其他特征中,激励等离子体包括:向上电极和下电极中的一者提供在1000W至5000W的范围内的HF功率,以及向所述上电极和所述下电极中的所述一者提供在500W至5000W的范围内的LF功率。
在其他特征中,激励等离子体包括:向上电极和下电极中的一者提供在2000W至3000W的范围内的HF功率,以及向所述上电极和所述下电极中的所述一者提供在1000W到3000W的范围内的LF功率。
在其他特征中,激励等离子体包括:向上电极和下电极中的一者提供在2000W至3000W的范围内的HF功率,以及向所述上电极和所述下电极中的所述一者提供在1500W到2100W的范围内的LF功率。
在其他特征中,所述包含氧物质的气体选自分子氧(O2)和/或一氧化二氮(N2O)。所述包含氧物质的气体以从15slm至30slm的范围内的流速供应。所述包含氧物质的气体以从20slm至25slm的范围内的流速供应。所述TEOS气体以从40sccm至70sccm的范围内的流速供应。所述TEOS气体以从50sccm至60sccm的范围内的流速供应。
在其他特征中,所述氩气以从7slm至20slm的范围内的流速供应。所述氩气以从7slm到15slm的范围内的流速供应。所述辅助吹扫气体以从7slm至10slm的范围内的流速供应。
在其他特征中,所述方法还包括在从700℃至800℃的温度范围内的温度下将所述膜退火持续从20分钟至40分钟的范围内的预定时间段。所述退火使用分子氮(N2)气体进行。所述处理室执行等离子体增强化学气相沉积。所述膜的厚度在3微米至12微米的范围内。所述氩气占所述处理气体混合物的体积在20%至40%的范围内。
根据详细描述、权利要求和附图,本公开内容的适用性的进一步的范围将变得显而易见。详细描述和具体示例仅用于说明的目的,并非意在限制本公开的范围。
附图说明
根据详细描述和附图将更充分地理解本公开,其中:
图1是根据本公开的用于沉积原硅酸四乙酯(TEOS)膜的衬底处理系统的一个示例的功能框图;以及
图2是图解根据本公开的用于沉积具有改善的膜稳定性和收缩性的厚TEOS膜的方法的一个示例的流程图。
在附图中,可以重复使用附图标记来标识相似和/或相同的元件。
具体实施方式
根据本公开的沉积系统和方法允许以相对高的厚度、具有相对低的退火后收缩率并且具有中性或略微压缩的调整沉积基于原硅酸四乙酯(TEOS)的膜。根据本公开的沉积系统和方法供应处理气体混合物,该处理气体混合物包括TEOS前体气体、氩(Ar)气和另一种包含氧物质的气体。在一些示例中,基于TEOS的膜的厚度在3μm和12μm之间,但是也可以使用其他膜的厚度。
在一些示例中,TEOS气体、包含氧物质的气体和Ar气体在混合歧管中混合,然后由诸如喷头之类的气体分配装置供应到处理室。在一些示例中,Ar气以相对较高的流速(大于7slm)供应到处理气体混合物中。当以更高的流速提供时,Ar气降低基于TEOS的膜的退火后收缩率,同时满足其他的膜性能目标。
现在参考图1,示出了用于执行沉积的示例性衬底处理系统100。尽管示出了PECVD衬底处理系统,但是可以使用PEALD衬底处理系统或其他衬底处理系统。衬底处理系统100包括处理室102,处理室102包围衬底处理室100的其他部件并容纳等离子体。衬底处理室100包括气体分配装置104和衬底支撑件106(例如静电卡盘(ESC))。在操作期间,将衬底108布置在衬底支撑件106上。
仅作为示例,气体分配装置104可包括喷头109,其将处理气体分配到衬底上。喷头109可包括杆部,杆部包括连接到处理室的顶部表面的一端。基部部分通常为圆柱形,并且在与处理室的顶部表面间隔开的位置处从杆部的相对端径向向外延伸。喷头的基部部分的面向衬底的表面或面板包括让处理气体流过的多个分配孔。气体分配装置104可以由金属材料制成并且可以用作上电极。可替代地,气体分配装置104可以由非金属材料制成并且可以包括嵌入式电极。在其他示例中,上电极可以包括导电板,并且可以以另一种方式引入处理气体。
衬底支撑件106包括用作下电极的导电基板110。基板110支撑加热板112,加热板112可以对应于陶瓷多区域加热板。可以在加热板112和基板110之间布置热阻层114。基板110可以包括一个或多个冷却剂通道116,用于使冷却剂流过基板110。
RF产生系统120产生RF电压并将RF电压输出到上电极(例如,气体分配装置104)和下电极(例如,衬底支撑件106的基板110)中的一个。上电极和下电极中的另一个可以是直流接地、交流接地或浮动的。仅举例而言,RF产生系统120可以包括高频(HF)产生器121和低频(LF)产生器122,其(分别以预定的频率和功率水平)产生HF和LF功率,该HF和LF功率由匹配和分配网络124馈送到上电极或下电极。
气体输送系统130包括一个或多个气体源132-1、132-2、…和132-N(统称为气体源132),其中N是大于零的整数。气体源132供应一种或多种处理气体混合物、载气和/或吹扫气体。在一些示例中,气体输送系统在沉积期间输送TEOS气体、包含氧物质的气体和氩(Ar)气体的混合物。气体源132通过阀134-1、134-2、…和134-N(统称为阀134)和质量流量控制器136-1、136-2、…和136-N(统称为质量流量控制器136)与混合歧管140连接。气体被供应到混合歧管140并在其中混合。混合歧管140的输出被馈送到处理室102。仅举例而言,混合歧管140的输出被馈送到喷头109。辅助吹扫气体170可以通过阀172和MFC 174被供应到处理室,例如在喷头109之后被供应到处理室。
温度控制器142可以连接到布置在陶瓷层112中的多个热控制元件(TCE)144。例如,TCE 144可以包括但不限于对应于多区域加热板中的每个区域的相应的大TCE和/或跨多区域加热板的多个区域设置的微TCE阵列。温度控制器142可以用于控制多个TCE 144,以控制衬底支撑件106和衬底108的温度。
温度控制器142可以与冷却剂组件146连通以控制流过通道116的冷却剂流。例如,冷却剂组件146可以包括冷却剂泵和贮存器。温度控制器142操作冷却剂组件146以选择性地使冷却剂流过通道116以冷却衬底支撑件106。
阀150和泵152可用于控制压强以及从处理室102排空反应物。系统控制器160可用于控制衬底处理系统100的部件。虽然温度控制器142示出为单独的控制器,但是温度控制器142可以在系统控制器160内实现。
现在参考图2,示出了用于沉积低收缩率的TEOS膜的方法250。在254处,将衬底布置在衬底处理室中的衬底支撑件上。在258,设置用于处理的操作参数或条件,包括设置室压强、衬底支撑温度等。在262,将处理气体混合物供应到处理室。在一些示例中,将气体供应到歧管并在歧管中混合。气体包括TEOS气体、包含氧物质的气体和氩(Ar)气体。在一些示例中,包含氧物质的气体包括分子氧(O2)和/或一氧化二氮(N2O),但是也可以使用包含氧物质的其他气体。
辅助吹扫气体也可以与处理气体混合物同时供应到处理室。在一些示例中,可以在喷头的后面通过喷头的轴环供应辅助吹扫气体,或在其他位置(例如从处理室的侧壁)供应辅助吹扫气体。在一些示例中,吹扫气体包括氩(Ar)气。在一些示例中,如以下将进一步描述的,以相对高的流速将Ar气供应到处理气体混合物中以降低退火后的收缩率。
在268处,通过在供应处理气体混合物的同时跨上电极和下电极供应功率来在处理室中激励等离子体。当在272处确定沉积期结束时,在276处,该方法熄灭等离子体,停止处理气体的流动并抽空反应物。在280处,在相同处理室或不同处理室中的衬底上执行后退火。
在一些示例中,将衬底支撑件106的温度控制到在400℃至600℃的温度范围内的沉积温度。在一些示例中,该温度范围是425℃至525℃。在一些示例中,温度范围是从450℃到500℃。在一些示例中,在沉积期间衬底支撑件106的沉积温度是475℃。
在一些示例中,室中的压强被设置为在从1托到10托的压强范围内的压强。在某些示例中,压强范围是2至4托。在一些示例中,压强范围是从3.2到3.8托。
在某些示例中,HF功率设置为1000至5000W。在一些示例中,HF功率范围是从2000到3000W。在一些示例中,在沉积期间HF功率为2500W。在一些示例中,将HF设置为10至15MHz的频率范围。在某些示例中,HF设置为13.34MHz。
在某些示例中,LF功率设置为500至5000W。在某些示例中,LF功率范围是1000至3000W。在某些示例中,LF功率范围是1500至2100W。在一些示例中,LF被设置为从200kHz到3MHz的频率范围。在某些示例中,LF设置为400KHz。在某些示例中,LF设置为2MHz。
在一些示例中,以从15slm至30slm的范围内的气体流速供应O2。在一些示例中,以从20slm至25slm的范围内的气体流速供应O2。尽管公开了特定的O2流速,但是可以使用其他流速。
在一些示例中,以从40sccm至70sccm的范围内的气体流速供应TEOS气体。在一些示例中,以从50sccm至60sccm的范围内的气体流速供应TEOS气体。在一些示例中,在沉积期间以58sccm供应TEOS气体,但是也可以使用其他流速。尽管公开了特定的TEOS流速,但是可以使用其他流速。
在一些示例中,以从7slm至20slm的范围内的气体流速将Ar气供应到处理气体混合物中。在一些示例中,Ar气流速在从7slm到15slm的范围内。尽管公开了流向歧管的特定Ar气体流速,但是可以使用其他流速。
在一些示例中,以7slm至10slm范围内的气体流速供应Ar作为辅助吹扫气体。在一些示例中,Ar辅助吹扫气体流速在从8slm至10slm的范围内。在一些示例中,将Ar辅助吹扫气体以9slm的压强供应到处理室,但是可以使用其他流速。
在一些示例中,后退火可以在700℃至800℃的范围内的温度下在处理室中进行20分钟至40分钟的范围内的一段时间。在一些实例中,该退火可以使用分子氮(N2)或其他气体进行。在一些实例中,在分子氮(N2)气体存在下在750℃下执行退火30分钟。
实施例
以下在下表1中的示例说明了相对较厚的TEOS膜(例如在3μm至12μm的范围内)的降低的后退火收缩率:
Figure BDA0002240314790000071
表1
从上面可以看出,随着流向歧管的Ar流速从5slm增大到更高的值,例如,大于7slm到15slm,收缩率显著降低,同时保持了可接受的应力水平。换句话说,当Ar气体增加到大于或等于供应给气体分配装置104的处理气体混合物的体积的20%时,收缩率显著降低。在一些示例中,Ar气体为在供给至气体分配装置104的处理气体混合物的体积的20%至45%的范围内。
前面的描述本质上仅仅是说明性的,并且绝不旨在限制本公开、其应用或用途。本公开的广泛教导可以以各种形式实现。因此,虽然本公开包括特定示例,但是本公开的真实范围不应当被如此限制,因为在研究附图、说明书和所附权利要求时,其他修改将变得显而易见。应当理解,在不改变本公开的原理的情况下,方法中的一个或多个步骤可以以不同的顺序(或同时地)执行。此外,虽然每个实施方式在上面被描述为具有某些特征,但是相对于本公开的任何实施方式描述的那些特征中的任何一个或多个,可以在任何其它实施方式的特征中实现和/或与任何其它实施方式的特征组合,即使该组合没有明确描述。换句话说,所描述的实施方式不是相互排斥的,并且一个或多个实施方式彼此的置换保持在本公开的范围内。
使用各种术语来描述元件之间(例如,模块之间、电路元件之间、半导体层之间等)的空间和功能关系,各种术语包括“连接”、“接合”、“耦合”、“相邻”、“紧挨”、“在...顶部”、“在...上面”、“在...下面”和“设置”。除非将第一和第二元件之间的关系明确地描述为“直接”,否则在上述公开中描述这种关系时,该关系可以是直接关系,其中在第一和第二元件之间不存在其它中间元件,但是也可以是间接关系,其中在第一和第二元件之间(在空间上或功能上)存在一个或多个中间元件。如本文所使用的,短语“A、B和C中的至少一个”应当被解释为意味着使用非排他性逻辑或(OR)的逻辑(A或B或C),并且不应被解释为表示“A中的至少一个、B中的至少一个和C中的至少一个”。
在一些实现方式中,控制器是系统的一部分,该系统可以是上述示例的一部分。这样的系统可以包括半导体处理设备,半导体处理设备包括一个或多个处理工具、一个或多个室、用于处理的一个或多个平台、和/或特定处理部件(晶片基座、气体流系统等)。这些系统可以与用于在半导体晶片或衬底的处理之前、期间和之后控制它们的操作的电子器件集成。电子器件可以被称为“控制器”,其可以控制一个或多个系统的各种部件或子部件。根据处理要求和/或系统类型,控制器可以被编程以控制本文公开的任何工艺,包括处理气体的输送、温度设置(例如加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置和操作设置、晶片转移进出工具和其他转移工具和/或与具体系统连接或通过接口连接的加载锁。
概括地说,控制器可以定义为电子器件,电子器件具有接收指令、发出指令、控制操作、启用清洁操作、启用端点测量等的各种集成电路、逻辑、存储器和/或软件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片、和/或一个或多个微处理器、或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式发送到控制器的指令,单独设置(或程序文件)定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定工艺的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的配方的一部分,以在一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或晶片的管芯的制造期间完成一个或多个处理步骤。
在一些实现方式中,控制器可以是与系统集成、耦合到系统、以其它方式联网到系统或其组合的计算机的一部分或耦合到该计算机。例如,控制器可以在“云”中或是晶片厂(fab)主机系统的全部或一部分,其可以允许对晶片处理的远程访问。计算机可以实现对系统的远程访问以监视制造操作的当前进展、检查过去制造操作的历史、检查多个制造操作的趋势或性能标准,改变当前处理的参数、设置处理步骤以跟随当前的处理、或者开始新的处理。在一些示例中,远程计算机(例如服务器)可以通过网络(其可以包括本地网络或因特网)向系统提供工艺配方。远程计算机可以包括使得能够输入或编程参数和/或设置的用户界面,然后将该参数和/或设置从远程计算机发送到系统。在一些示例中,控制器接收数据形式的指令,其指定在一个或多个操作期间要执行的每个处理步骤的参数。应当理解,参数可以特定于要执行的工艺的类型和工具的类型,控制器被配置为与该工具接口或控制该工具。因此,如上所述,控制器可以是例如通过包括联网在一起并朝着共同目的(例如本文所述的工艺和控制)工作的一个或多个分立的控制器而呈分布式。用于这种目的的分布式控制器的示例是在与远程(例如在平台级或作为远程计算机的一部分)的一个或多个集成电路通信的室上的一个或多个集成电路,其组合以控制在室上的工艺。
示例系统可以包括但不限于等离子体蚀刻室或模块、沉积室或模块、旋转漂洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及可以与半导体晶片的制造和/或制备相关联或用于半导体晶片的制造和/或制备的任何其它半导体处理系统。
如上所述,根据将由工具执行的一个或多个处理步骤,控制器可以与一个或多个其他工具电路或模块、其它工具部件、群集工具、其他工具接口、相邻工具、邻近工具、位于整个工厂中的工具、主计算机、另一控制器、或在将晶片容器往返半导体制造工厂中的工具位置和/或装载口运输的材料运输中使用的工具通信。

Claims (18)

1.一种用于降低二氧化硅膜的收缩率的方法,其包括:
将衬底布置在处理室中的衬底支撑件上;
将所述处理室中的压强设置为预定压强范围;
将所述衬底支撑件的温度设置为预定温度范围;
将处理气体混合物供应到气体分配装置,其中所述处理气体混合物包括原硅酸四乙酯(TEOS)气体、分子氧气体、以及氩气,其中所述氩气占所述处理气体混合物的体积超过20%;
在供应所述处理气体混合物的同时激励等离子体以将所述二氧化硅膜沉积在所述衬底上;以及
使所述二氧化硅膜退火,
其中所述原硅酸四乙酯(TEOS)气体以从40sccm至70sccm的范围内的第一流速供应,所述分子氧气体以从15slm至30slm的范围内的第二流速供应,而所述氩气以从7slm至20slm的范围内的第三流速供应,以与较低的氩气流速相比降低二氧化硅膜的后退火收缩率。
2.根据权利要求1所述的方法,其还包括:
将所述原硅酸四乙酯(TEOS)气体、所述分子氧气体、以及所述氩气供应到混合歧管以产生所述处理气体混合物;以及
将所述处理气体混合物输送到布置在所述衬底支撑件上方的所述气体分配装置。
3.根据权利要求1所述的方法,其进一步包括将辅助吹扫气体供应到所述处理室。
4.根据权利要求3所述的方法,其中,所述辅助吹扫气体包括氩气。
5.根据权利要求1所述的方法,其中,所述预定温度范围是从400℃到600℃。
6.根据权利要求1所述的方法,其中,所述预定压强范围是2托至4托。
7.根据权利要求1所述的方法,其中,所述预定压强范围是3.2托至3.8托。
8.根据权利要求1所述的方法,其中,所述激励等离子体包括:向上电极和下电极中的一者提供在1000W至5000W的范围内的HF功率,以及向所述上电极和所述下电极中的所述一者提供在500W至5000W的范围内的LF功率。
9.根据权利要求1所述的方法,其中,所述激励等离子体包括:向上电极和下电极中的一者提供在2000W至3000W的范围内的HF功率,以及向所述上电极和所述下电极中的所述一者提供在1000W到3000W的范围内的LF功率。
10.根据权利要求1所述的方法,其中,所述激励等离子体包括:向上电极和下电极中的一者提供在2000W至3000W的范围内的HF功率,以及向所述上电极和所述下电极中的所述一者提供在1500W到2100W的范围内的LF功率。
11.根据权利要求1所述的方法,其中,所述分子氧气体以从20slm至25slm的范围内的所述第二流速供应。
12.根据权利要求1所述的方法,其中,所述原硅酸四乙酯(TEOS)气体以从50sccm至60sccm的范围内的所述第一流速供应。
13.根据权利要求1所述的方法,其中,所述氩气以从7slm到15slm的范围内的所述第三流速供应。
14.根据权利要求4所述的方法,其中,所述辅助吹扫气体以从7slm至10slm的范围内的第四流速供应。
15.根据权利要求1所述的方法,其还包括在从700℃至800℃的温度范围内的温度下将所述二氧化硅膜退火持续从20分钟至40分钟的范围内的预定时间段。
16.根据权利要求15所述的方法,其中,所述退火使用分子氮(N2)气体进行。
17.根据权利要求1所述的方法,其中,所述二氧化硅膜的厚度在3微米至12微米的范围内。
18.根据权利要求1所述的方法,其中,所述氩气占所述处理气体混合物的体积在20%至40%的范围内。
CN201880026216.1A 2017-04-21 2018-04-23 以高沉积速率沉积具有低压应力、高的膜稳定性和低收缩率的原硅酸四乙酯厚膜的方法 Active CN110537244B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/493,802 US10358717B2 (en) 2017-04-21 2017-04-21 Method for depositing high deposition rate, thick tetraethyl orthosilicate film with low compressive stress, high film stability and low shrinkage
US15/493,802 2017-04-21
PCT/US2018/028861 WO2018195535A1 (en) 2017-04-21 2018-04-23 Method for depositing high deposition rate, thick tetraethyl orthosilicate film with low compressive stress, high film stability and low shrinkage

Publications (2)

Publication Number Publication Date
CN110537244A CN110537244A (zh) 2019-12-03
CN110537244B true CN110537244B (zh) 2023-06-23

Family

ID=63853088

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880026216.1A Active CN110537244B (zh) 2017-04-21 2018-04-23 以高沉积速率沉积具有低压应力、高的膜稳定性和低收缩率的原硅酸四乙酯厚膜的方法

Country Status (4)

Country Link
US (1) US10358717B2 (zh)
KR (1) KR102510611B1 (zh)
CN (1) CN110537244B (zh)
WO (1) WO2018195535A1 (zh)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7297608B1 (en) * 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
US9601319B1 (en) * 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5286297A (en) * 1992-06-24 1994-02-15 Texas Instruments Incorporated Multi-electrode plasma processing apparatus
JPH0964176A (ja) 1995-08-21 1997-03-07 Oki Electric Ind Co Ltd 半導体素子の製造方法
US6121164A (en) 1997-10-24 2000-09-19 Applied Materials, Inc. Method for forming low compressive stress fluorinated ozone/TEOS oxide film
US6071573A (en) 1997-12-30 2000-06-06 Lam Research Corporation Process for precoating plasma CVD reactors
US6759297B1 (en) * 2003-02-28 2004-07-06 Union Semiconductor Technology Corporatin Low temperature deposition of dielectric materials in magnetoresistive random access memory devices
JP2004336019A (ja) * 2003-04-18 2004-11-25 Advanced Lcd Technologies Development Center Co Ltd 成膜方法、半導体素子の形成方法、半導体素子、表示装置の形成方法及び表示装置
JP2005252012A (ja) * 2004-03-04 2005-09-15 Advanced Lcd Technologies Development Center Co Ltd 成膜方法、半導体素子の形成方法、半導体装置、及び表示装置
US20060105106A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
US7923376B1 (en) 2006-03-30 2011-04-12 Novellus Systems, Inc. Method of reducing defects in PECVD TEOS films
US20090056743A1 (en) 2007-08-31 2009-03-05 Soo Young Choi Method of cleaning plasma enhanced chemical vapor deposition chamber
US8486792B2 (en) * 2008-05-13 2013-07-16 Tokyo Electron Limited Film forming method of silicon oxide film, silicon oxide film, semiconductor device, and manufacturing method of semiconductor device
US8741394B2 (en) * 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US8709551B2 (en) * 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
US8546449B2 (en) * 2011-03-24 2013-10-01 Enviro Tech Chemical Services, Inc. Methods and compositions for the generation of peracetic acid on site at the point-of-use
MX2013012151A (es) * 2011-04-19 2014-06-20 ARMS Pharmaceutical LLC Metodo para inhibir microorganismos dañinos y composicion de formacion de barreras para los mismos.
US20130161629A1 (en) 2011-12-27 2013-06-27 Applied Materials, Inc. Zero shrinkage smooth interface oxy-nitride and oxy-amorphous-silicon stacks for 3d memory vertical gate application
KR102025441B1 (ko) * 2012-04-06 2019-09-25 노벨러스 시스템즈, 인코포레이티드 증착 후 소프트 어닐링
GB201207448D0 (en) * 2012-04-26 2012-06-13 Spts Technologies Ltd Method of depositing silicon dioxide films
US9157730B2 (en) * 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
US9570289B2 (en) * 2015-03-06 2017-02-14 Lam Research Corporation Method and apparatus to minimize seam effect during TEOS oxide film deposition
US10246772B2 (en) * 2015-04-01 2019-04-02 Applied Materials, Inc. Plasma enhanced chemical vapor deposition of films for improved vertical etch performance in 3D NAND memory devices
JP6586793B2 (ja) * 2015-06-30 2019-10-09 株式会社リコー 光電変換装置及び画像生成装置
US10199388B2 (en) * 2015-08-27 2019-02-05 Applied Mateerials, Inc. VNAND tensile thick TEOS oxide
US20170092492A1 (en) 2015-09-28 2017-03-30 Applied Materials, Inc. Methods for forming a silicon containing dielectric film using a gas mixture with ar gas dilusion
US10790140B2 (en) * 2017-02-14 2020-09-29 Applied Materials, Inc. High deposition rate and high quality nitride
CN113366612A (zh) * 2019-01-31 2021-09-07 朗姆研究公司 用于先进半导体应用的低应力膜

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7297608B1 (en) * 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
US9601319B1 (en) * 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process

Also Published As

Publication number Publication date
KR102510611B1 (ko) 2023-03-15
US20180305812A1 (en) 2018-10-25
US10358717B2 (en) 2019-07-23
WO2018195535A1 (en) 2018-10-25
KR20190133789A (ko) 2019-12-03
CN110537244A (zh) 2019-12-03

Similar Documents

Publication Publication Date Title
CN106024596B (zh) 减少无定形碳硬掩模膜的碳-氢含量的方法
CN106952799B (zh) 使用基于等离子体的工艺消除氟残余物的系统和方法
US20200335304A1 (en) Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
CN105845551B (zh) 衬底处理系统中用作硬掩模的无定形碳和硅膜的金属掺杂
US11069553B2 (en) Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
CN110337714B (zh) 一种衬底支撑件和衬底处理系统
CN110537241B (zh) 使用同时存在的原位和远程等离子体源进行快速室清洁
TWI805644B (zh) 針對pecvd金屬摻雜碳硬遮罩之均質介面的沉積系統和方法
US9875890B2 (en) Deposition of metal dielectric film for hardmasks
CN113506719A (zh) 包括具有高纯sp3键的cvd金刚石涂层的边缘环之类的部件
US20220181147A1 (en) High etch selectivity, low stress ashable carbon hard mask
CN110537244B (zh) 以高沉积速率沉积具有低压应力、高的膜稳定性和低收缩率的原硅酸四乙酯厚膜的方法
US20220336191A1 (en) Low temperature plasma enhanced chemical vapor deposition process including preheated showerhead
CN107578982B (zh) 用于沉积阻挡层以防止光致抗蚀剂中毒的衬底处理方法
US20160329213A1 (en) Highly selective deposition of amorphous carbon as a metal diffusion barrier layer
TW201945587A (zh) 具有低壓應力、高膜穩定性及低收縮率之高沉積率厚四乙基正矽酸鹽膜的沉積方法
US20200098562A1 (en) Dual frequency silane-based silicon dioxide deposition to minimize film instability

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant