CN110337614B - Method for determining scattering of radiation by means of a structure of limited thickness on a patterning device - Google Patents

Method for determining scattering of radiation by means of a structure of limited thickness on a patterning device Download PDF

Info

Publication number
CN110337614B
CN110337614B CN201880013152.1A CN201880013152A CN110337614B CN 110337614 B CN110337614 B CN 110337614B CN 201880013152 A CN201880013152 A CN 201880013152A CN 110337614 B CN110337614 B CN 110337614B
Authority
CN
China
Prior art keywords
characteristic
image
mask
patterning device
computer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201880013152.1A
Other languages
Chinese (zh)
Other versions
CN110337614A (en
Inventor
刘鹏
罗亚
曹宇
卢彦文
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Holding NV
Original Assignee
ASML Holding NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Holding NV filed Critical ASML Holding NV
Publication of CN110337614A publication Critical patent/CN110337614A/en
Application granted granted Critical
Publication of CN110337614B publication Critical patent/CN110337614B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70283Mask effects on the imaging process
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/392Floor-planning or layout, e.g. partitioning or placement
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Evolutionary Computation (AREA)
  • General Engineering & Computer Science (AREA)
  • Geometry (AREA)
  • General Health & Medical Sciences (AREA)
  • Mathematical Physics (AREA)
  • Computational Linguistics (AREA)
  • Molecular Biology (AREA)
  • Computing Systems (AREA)
  • Biophysics (AREA)
  • Biomedical Technology (AREA)
  • Data Mining & Analysis (AREA)
  • Software Systems (AREA)
  • Artificial Intelligence (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Architecture (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

A method, comprising: obtaining a characteristic of a portion of a design layout; determining a characteristic of M3D of the patterning device comprising or constituting said part; training, using a computer, a neural network using training data comprising samples whose feature vectors comprise characteristics of the portion and whose supervisory signals comprise characteristics of M3D. Also disclosed is a method comprising: obtaining a characteristic of a portion of a design layout; obtaining characteristics of a lithographic process using a patterning device comprising or constituting said portion; determining a characteristic of a result of the lithographic process; training, using a computer, a neural network using training data comprising a sample, a feature vector of the sample comprising a characteristic of the portion and a characteristic of the lithographic process, and a supervisory signal of the sample comprising a characteristic of the result.

Description

Method for determining scattering of radiation by means of a structure of limited thickness on a patterning device
Cross Reference to Related Applications
This application is based on and claims priority from U.S. provisional application No.62/462,337 entitled "Methods of Determining Scattering of Radiation by Structures of Fine vibrations on a Patterning Device", filed on 22.2.2017, the disclosure of which is incorporated herein by reference in its entirety.
Technical Field
The description herein generally relates to methods of determining scattering of radiation due to finite thickness on patterning devices used in lithographic processes and lithographic projection apparatus.
Background
For example, lithographic projection apparatus can be used in the manufacture of Integrated Circuits (ICs). In such a case, the patterning device (e.g., mask) may comprise or provide a pattern corresponding to an individual layer of the IC (a "design layout"), and this pattern can be transferred to a target portion (e.g., a target portion including one or more dies) on a substrate (e.g., a silicon wafer) that has been coated with a layer of radiation-sensitive material ("resist"), for example by a method such as irradiating the target portion via the pattern on the patterning device. Typically, a single substrate will comprise a plurality of adjacent target portions to which the pattern is transferred by the lithographic projection apparatus successively, one target portion at a time. In one type of lithographic projection apparatus, the pattern on the entire patterning device is transferred onto one target portion at a time; such a device is commonly referred to as a stepper. In an alternative apparatus, commonly referred to as a step-and-scan apparatus, the projection beam is scanned over the patterning device in a given reference direction (the "scanning" direction), while synchronously moving the substrate parallel or anti-parallel to this reference direction. Different portions of the pattern on the patterning device are transferred gradually onto a target portion. Since typically a lithographic projection apparatus will have a demagnification scale M (e.g. 4), the rate at which the substrate is moved F will be 1/M times the rate at which the projection beam scans the patterning device. More information about the lithographic apparatus described herein can be gleaned from, for example, US 6,046,792, which is incorporated herein by reference.
Before transferring the pattern from the patterning device to the substrate, the substrate may undergo various processes, such as priming, resist coating, and soft baking. After exposure, the substrate may undergo other procedures ("post-exposure procedures") such as post-exposure baking (PEB), development, hard baking, and measurement/inspection of the transferred pattern. This series of processes is used as the basis for the fabrication of individual layers of a device, such as an IC. The substrate may then undergo various processes such as etching, ion implantation (doping), metallization, oxidation, chemical mechanical polishing, etc., all of which are intended to ultimately complete a single layer of the device. If multiple layers are required for the device, the entire process or variations thereof are repeated for each layer. Eventually, a device will be present in each target portion on the substrate. These devices are then separated from each other by techniques such as dicing or cutting, whereby individual devices can be mounted on a carrier, connected to pins or the like.
Thus, manufacturing a device, such as a semiconductor device, typically involves processing a substrate (e.g., a semiconductor wafer) using multiple fabrication processes to form various features and multiple layers of the device. These layers and features are typically fabricated and processed using, for example, deposition, photolithography, etching, chemical mechanical polishing, ion implantation. Multiple devices may be fabricated on multiple dies on a substrate and then separated into individual devices. Such a device manufacturing process may be considered a patterning process. The patterning process involves a patterning step using a patterning device in a lithographic apparatus, such as optical and/or nanoimprint lithography, to transfer a pattern on the patterning device onto the substrate, and typically, but optionally, involves one or more relevant pattern processing steps, such as resist development by a developing apparatus, baking of the substrate using a baking tool, etching of the pattern using an etching apparatus, etc.
As mentioned, photolithography is a central step in the manufacture of devices (such as ICs), in which a pattern formed on a substrate defines the functional elements of the device, such as a microprocessor, memory chip, etc. Similar lithographic techniques are also used to form flat panel displays, micro-electro-mechanical systems (MEMS), and other devices.
As semiconductor manufacturing processes continue to advance, the size of functional elements has been steadily decreasing while the amount of functional elements (such as transistors) per device has steadily increased over decades, following a trend commonly referred to as "Moore's law". In the current state of the art, layers of a device are fabricated using a lithographic projection apparatus that projects a design layout onto a substrate using illumination from a deep ultraviolet illumination source, forming a single functional element having dimensions well below 100nm (i.e., less than half the wavelength of the radiation from the illumination source (e.g., 193nm illumination source)).
Such a process in which features having a size below the classical resolution limit of a lithographic projection apparatus are printed is commonly referred to as low-k1Lithography according to the resolution formula CD-k1X λ/NA, where λ is the wavelength of the radiation employed (248 nm or 193nm in most current cases), NA is the numerical aperture of the projection optics in the lithographic projection apparatus, CD is the "critical dimension" -usually the smallest feature size printed-and, k1Is an empirical resolution factor. In general, k1The smaller, the more difficult it becomes to reproduce patterns on the substrate that resemble the shapes and sizes planned by the designer to achieve a particular electrical functionality and performance. To overcome these difficulties, complex fine-tuning steps are applied to the lithographic projection apparatus, the design layout or the patterning device. These steps include, for example but not limited to: optimization of NA and optical coherence settings, custom illumination schemes, use of phase-shifting patterning devices, optical proximity correction (OPC, sometimes also referred to as "optical and process correction") in design layouts, or other methods generally defined as "resolution enhancement techniques" (RET). The term "projection optics" as used herein should be broadly interpreted as encompassing various types of optical systems, including refractive optics, reflective optics, apertures, and catadioptric optics, for example. The term "projection optics" may also include, collectively or individually, components that operate according to any of these design types for directing, shaping, or controlling a projection beam of radiation. The term "projection optics" may include any optical component in a lithographic projection apparatus, regardless of where the optical component is located in an optical path of the lithographic projection apparatus. The projection optics may include optical components for shaping, conditioning and/or projecting radiation from the source before it passes through the patterning device, or for shaping, conditioning and/or projecting the radiation after it passes through the patterning deviceOptical components that integrate and/or project the radiation. Projection optics typically do not include a source and patterning device.
Disclosure of Invention
In an embodiment, there is provided a method comprising: obtaining a characteristic of a portion of a design layout; determining a characteristic of M3D of the patterning device comprising or constituting said part; training, using a computer, a neural network using training data comprising samples whose feature vectors comprise characteristics of the portion and whose supervisory signals comprise characteristics of M3D.
According to an embodiment, the design layout is a binary design layout or a continuous tone design layout.
According to an embodiment, the characteristic of the portion comprises a geometric characteristic of a pattern in the portion, a statistical characteristic of a pattern in the portion, a parameterization of the portion, or an image derived from the portion.
According to an embodiment, the parameterization of the portion is a projection of the portion on one or more basis functions.
According to an embodiment, the image is a pixilated image, a binary image or a continuous tone image.
According to an embodiment, the characteristic of M3D includes a parameter of an M3D mask transmission function of the patterning device.
According to an embodiment, the characteristic of M3D is determined based on the portion.
According to an embodiment, the characteristics of M3D are determined using a computational model.
According to an embodiment, the method further comprises determining a characteristic of M3D from a result of a patterning process using the patterning device.
According to an embodiment, the result is an image, or a characteristic of an image, formed on the substrate by the patterning process.
According to an embodiment, the characteristic of the portion comprises a geometric component of the portion, or a continuous tone rendering of the geometric component.
Disclosed herein is a method comprising: obtaining a characteristic of a portion of a design layout; obtaining characteristics of a patterning process using a patterning device that includes or constitutes the portion; determining a characteristic of a result of the patterning process; training, using a computer, a neural network using training data comprising samples, feature vectors of the samples comprising characteristics of the portions and characteristics of the patterning process, and supervisory signals of the samples comprising characteristics of the results.
According to an embodiment, the design layout is a binary design layout or a continuous tone design layout.
According to an embodiment, the characteristic of the portion comprises a geometric characteristic of a pattern in the portion, a statistical characteristic of a pattern in the portion, a parameterization of the portion, or an image derived from the portion.
According to an embodiment, the parameterization of the portion is a projection of the portion on one or more basis functions.
According to an embodiment, the image is a pixilated image, a binary image or a continuous tone image.
According to an embodiment, the characteristic of the patterning process comprises a characteristic of an illumination source of a lithographic apparatus used in the patterning process, a characteristic of projection optics of the lithographic apparatus, a characteristic of a post-exposure process, or any combination selected therefrom.
According to an embodiment, a characteristic of the result is determined based on the portion and the patterning process.
According to an embodiment, the result is an image, or a characteristic of an image, formed on the substrate by the patterning process.
According to an embodiment, a computational model is used to determine characteristics of the results.
According to an embodiment, the characteristic of the result is selected from a critical dimension, a mask error enhancement factor, a process window, a yield, and/or any combination selected therefrom.
According to an embodiment, the characteristic of the portion comprises a geometric component of the portion, or a continuous tone rendering of the geometric component.
According to an embodiment, the method further comprises determining an M3D mask transmission function of the patterning device using a neural network.
According to an embodiment, the method further comprises determining an image resulting from the patterning process using an M3D mask transmission function.
According to an embodiment, determining the image comprises determining an electromagnetic field of the radiation after interaction with the patterning device by using the M3D mask transmission function and the electromagnetic field of the radiation before interaction with the patterning device.
Disclosed herein is a computer program product comprising a non-transitory computer-readable medium having instructions recorded thereon, which when executed by a computer, implement any of the methods above.
Drawings
FIG. 1 shows a block diagram of various subsystems of a lithography system.
Fig. 2 shows a flow diagram of a method for simulating an image according to an embodiment, wherein M3D is considered.
Fig. 3 schematically shows a flow chart for using the mask transmission function.
Fig. 4 schematically shows a flow diagram of a method of training a neural network that determines M3D of a structure on a patterning device, according to an embodiment.
Fig. 5 schematically shows a flow diagram of a method of training a neural network that determines M3D of a structure on a patterning device, according to an embodiment.
FIG. 6 schematically shows an example of characteristics of a portion of a design layout used in the method of FIG. 4 or FIG. 5.
FIG. 7A schematically shows a flow diagram in which an M3D model may be derived for multiple patterning processes and stored in a database for future use.
Fig. 7B schematically shows a flow diagram in which the M3D model may be retrieved from a database based on a patterning process.
FIG. 8 is a block diagram of an example computer system.
FIG. 9 is a schematic view of a lithographic projection apparatus.
FIG. 10 is a schematic view of another lithographic projection apparatus.
Fig. 11 is a more detailed view of the device in fig. 10.
Fig. 12 is a more detailed view of the source collector module SO of the apparatus of fig. 10 and 11.
Detailed Description
Although specific reference may have been made herein to the manufacture of ICs, it should be expressly understood that the description herein has many other possible applications. For example, it can be used for the manufacture of integrated optical systems, guidance and detection patterns for magnetic domain memories, liquid crystal display panels, thin film magnetic heads, and the like. Those skilled in the art will appreciate that, in the context of such alternative applications, any use of the terms "reticle," "wafer," or "die" herein may be considered interchangeable with the more general terms "mask," "substrate," or "target portion," respectively.
In the present context, the terms "radiation" and "beam" are used to encompass all types of electromagnetic radiation, including ultraviolet radiation (e.g. having a wavelength of 365nm, 248nm, 193nm, 157nm or 126 nm) and extreme ultraviolet radiation (EUV, e.g. having a wavelength in the range of about 5-100 nm).
The patterning device may comprise or may constitute one or more design layouts. CAD (computer aided design) programs can be used to generate design layouts, a process often referred to as EDA (electronic design automation). Most CAD programs follow a set of predetermined design rules in order to create a functional design layout/patterning device. These rules are set by processing and design constraints. For example, design rules define spacing tolerances between devices (such as gates, capacitors, etc.) or interconnect lines in order to ensure that the devices or lines do not interact in an undesirable manner. One or more of the design rule limitations may be referred to as "critical dimension" (CD). The critical dimension of a device may be defined as the minimum width of a line or hole, or the minimum separation between two lines or two holes. Thus, the CD determines the overall size and density of the designed device. Of course, one of the goals in device fabrication is to faithfully reproduce the original design intent on the substrate (via the patterning device).
The term "mask" or "patterning device" as used herein should be broadly interpreted as referring to a generic patterning device that can be used to impart an incoming radiation beam with a patterned cross-section corresponding to a pattern to be created in a target portion of the substrate; the term "light valve" may also be used in this context. Examples of other such patterning devices, in addition to classical masks (transmissive or reflective; binary, phase-shifting, hybrid, etc.), include:
a programmable mirror array. An example of such a device is a matrix-addressable surface having a viscoelastic control layer and a reflective surface. The basic principle behind such a device is that, for example, addressed areas of the reflective surface reflect incident radiation as diffracted radiation, whereas unaddressed areas reflect incident radiation as undiffracted radiation. With the use of appropriate filters, the non-diffracted radiation can be filtered out of the reflected beam, leaving only diffracted radiation; in this manner, the beam becomes patterned according to the addressing pattern of the matrix-addressable surface. The required matrix addressing can be performed using suitable electronic means.
-a programmable LCD array.
By way of brief introduction, FIG. 1 illustrates an exemplary lithographic projection apparatus 10A. The main components are as follows: a radiation source 12A, which may be a deep ultraviolet excimer laser source or other type of source including an Extreme Ultraviolet (EUV) source (as discussed above, the lithographic projection apparatus need not have a radiation source itself); illumination optics which, for example, define partial coherence (denoted sigma) and which may include optics 14A, 16Aa and 16Ab that shape radiation from source 12A; a patterning device 18A; and transmission optics 16Ac that project an image of the patterning device pattern onto substrate plane 22A. An adjustable filter or aperture 20A at the pupil plane of the projection optics may limit the range of angles of the beam impinging on the substrate plane 22A, with the largest possible angle defining the numerical aperture NA of the projection optics — n sin (Θ)max) Where n is the medium between the substrate and the last element of the projection opticsRefractive index of (a) ([ theta ])maxIs the maximum angle of the beam exiting the projection optics that can still impinge on the substrate plane 22A.
In a lithographic projection apparatus, a source provides illumination (i.e., radiation) onto a patterning device, and projection optics direct and shape the illumination onto a substrate via the patterning device. The projection optics may include at least some of the components 14A, 16Aa, 16Ab, and 16 Ac. The Aerial Image (AI) is the radiation intensity distribution at the substrate level. A resist layer on a substrate is exposed, and an aerial image is transferred to the resist layer as a latent "resist image" (RI) therein. The Resist Image (RI) can be defined as the spatial distribution of the solubility of the resist in the resist layer. A resist image may be calculated from the aerial image using a resist model, an example of which may be found in U.S. patent application publication No. us 2009-0157360, the entire contents of which are incorporated herein by reference. The resist model is only related to the properties of the resist layer (e.g., such as the effects of chemical processes that occur during exposure, PEB, and development). The optical properties of the lithographic projection apparatus (e.g., the properties of the source, patterning device and projection optics) dictate the aerial image. Since the patterning device used in a lithographic projection apparatus can be varied, it can be desirable to separate the optical properties of the patterning device from the optical properties of the rest of the lithographic projection apparatus, including at least the source and the projection optics.
One aspect of understanding the lithographic process is understanding the interaction of radiation with the patterning device. The electromagnetic field of the radiation after passing the patterning device may be determined as a function of the electromagnetic field of the radiation before the radiation reaches the patterning device and the feature having said interaction. The function may be referred to as a mask transmission function (which may be used to describe the interaction by a transmissive patterning device and/or a reflective patterning device).
The mask transmission function may have a variety of different forms. One form is binary. The binary mask transmission function has either of two values (e.g., zero and a positive constant) at any given location on the patterning device. A mask transmission function in binary form may be referred to as a binary mask. The other form is continuous. That is, the modulus of the transmittance (or reflectance) of the patterning device is a continuous function of the location on the patterning device. The phase of the transmittance (or reflectance) may also be a continuous function of the location on the patterning device. The mask transmission function in a continuous form may be referred to as a Continuous Transmission Mask (CTM).
Thin mask approximations, also known as Kirchhoff boundary conditions, are widely used to simplify the determination of the interaction of radiation with the patterning device. The thin mask approximation assumes that the thickness of the structures on the patterning device is very small compared to the wavelength, and the width of the structures on the mask is very large compared to the wavelength. Thus, a thin mask approximately assumes that the electromagnetic field after the patterning device is the product of the incident electromagnetic field and the mask transmission function. However, as the photolithography process uses shorter and shorter wavelength radiation and the structures on the patterning device become smaller and smaller, the assumption of a thin mask approximation may fail. For example, due to the finite thickness of the structures (e.g., the edges between the top surface and the sidewalls), the interaction of the radiation with the structures ("mask 3D effect" or "M3D") may become significant. The inclusion of such scattering in the mask transmission function may enable the mask transmission function to better capture the interaction of radiation with the patterning device. The mask transmission function under a thin mask approximation may be referred to as the thin mask transmission function. A mask transmission function encompassing M3D may be referred to as an M3D mask transmission function.
Fig. 2 is a flow diagram of a method for determining an image (e.g., an aerial image, a resist image, or an etch image) that is a product of a patterning process involving a lithographic process, in which M3D is considered, according to an embodiment. In process 2008, an aerial image 2009 is determined (e.g., simulated) using the M3D mask transmission function 2006, illumination source model 2005, and projection optics model 2007 for the patterning device. In an optional process 2011, the aerial image 2009 and the resist model 2010 can be used to determine (e.g., simulate) a resist image 2012. In an optional procedure 2014, an etch image 2015 may be determined (e.g., simulated) using the resist image 2012 and the etch model 2013. The etch image may be defined as a spatial distribution of an amount of etching in the substrate after etching the substrate using the developed resist on the substrate as an etch mask.
As mentioned above, the mask transmission function of the patterning device (e.g., the thin mask or M3D mask transmission function) is a function that determines the electromagnetic field of the radiation after interaction with the patterning device based on the electromagnetic field of the radiation before interaction with the patterning device. As described above, the mask transmission function may describe the interaction of a transmissive patterning device or a reflective patterning device.
Fig. 3 schematically shows a flow chart for using the mask transmission function. The electromagnetic field 3001 of radiation before interacting with the patterning device and the mask transmission function 3002 are used in process 3003 to determine the electromagnetic field 3004 of radiation after interacting with the patterning device. The mask transmission function 3002 may be a thin mask transmission function. The mask transmission function 3002 may be an M3D mask transmission function. In general mathematical form, the relationship between electromagnetic field 3001 and electromagnetic field 3004 may be expressed as Ea(r)=T(Eb(r)), wherein Ea(r) is the electrical component of electromagnetic field 3004; eb(r) is the electrical component of electromagnetic field 3001; and T is the mask transmission function.
M3D for a structure on the patterning device (e.g., as represented by one or more parameters of the M3D mask transmission function) may be determined by computational or experimental modeling. In an example, the computational model may involve a rigorous simulation of M3D for all structures on the patterning device (e.g., using a Finite Discrete Time Domain (FDTD) algorithm or a strictly coupled waveguide analysis (RCWA) algorithm). In another example, the computational model may involve a rigorous simulation of M3D of certain portions of the structure that tend to have large M3D, and a thin mask transmission function that adds M3D of these portions to all structures on the patterning device. However, rigorous simulations tend to be computationally expensive.
In contrast, the experimental model does not simulate M3D; rather, the experimental model determines M3D based on a correlation between inputs to the experimental model (e.g., one or more characteristics of a design layout comprised of or including the patterning device, one or more characteristics of the patterning device, such as its structure and material composition, and one or more characteristics of the illumination used in the lithographic process, such as wavelength) and M3D).
An example of an experimental model is a neural network. Neural networks, also known as Artificial Neural Networks (ANN), are "computing systems consisting of several simple, highly interconnected processing elements that process information through their dynamic response to external inputs. "Neural Network Primer (Neural Network entry): part I, mauren caudil, AI specialist, month 2 1989. Neural networks are processing devices (algorithms or actual hardware) that are loosely modeled, but much smaller in scale, targeting the neuronal structures of the mammalian cerebral cortex. Neural networks may have hundreds or thousands of processor units, while the mammalian brain has billions of neurons, and the magnitude of their overall interaction and emergency behavior increases accordingly.
The neural network may be trained (i.e., its parameters determined) using a set of training data. The training data may comprise or consist of a set of training samples. Each sample may be a pair comprising or consisting of an input object (typically a vector, which may be referred to as a feature vector) and a desired output value (also referred to as a supervisory signal). The training algorithm analyzes the training data and adjusts the behavior of the neural network by adjusting parameters of the neural network based on the training data. The neural network after training may be used to map new samples.
In the context of determining M3D, a feature vector may include (include or be made up of) one or more characteristics of a design layout, one or more characteristics of a patterning device (e.g., one or more physical characteristics such as size, refractive index, material composition, etc.), and one or more characteristics of illumination used in a lithographic process (e.g., wavelength). The supervisory signals may include one or more characteristics of M3D (e.g., one or more parameters of the M3D mask transmission function).
Given a set { (x)1,y1),(x2,y2),...,(xN,yN) N training samples of the form xiIs the feature vector of the ith example and yiIs its supervision signal, the training algorithm looks for neural network g: x → Y, where X is the input space and Y is the output space. A feature vector is an n-dimensional vector representing the numerical features of some objects. The vector space associated with these vectors is often referred to as the feature space. Using a scoring function
Figure BDA0002174271560000111
It is sometimes convenient to represent g so that the return gives the highest score: g (x) argmaxyThe y value of f (x, y) is defined as g. Let F denote the space of the scoring function.
The neural network may be probabilistic, with g taking the form of a conditional probability model g (x) P (y | x), or r taking the form of a joint probability model f (x, y) P (x, y).
There are two basic methods for selecting f or g: empirical risk minimization and structural risk minimization. Empirical risk minimization seeks a neural network that best fits the training data. The structure risk minimization includes a penalty function that controls the bias/variance tradeoff.
In both cases, it is assumed that the training set comprises pairs (x) that are independently and identically distributedi,yi) Or consists of one or more samples of (a). In order to measure the degree of the function fitting training data, a loss function is defined
Figure BDA0002174271560000121
For the training samples (xi, yi), the values are predicted
Figure BDA0002174271560000122
Is lost in that
Figure BDA0002174271560000123
The risk r (g) of the function g is defined as the expected loss of g. The risk may be estimated as a function of training data
Figure BDA0002174271560000124
Fig. 4 schematically shows a flow diagram of a method of training a neural network that determines M3D (e.g., as represented by one or more parameters of the M3D mask transmission function) of one or more structures on a patterning device, according to an embodiment. Values of one or more properties 410 of a portion of a design layout are obtained. The design layout may be a binary design layout, a continuous tone design layout (e.g., rendered from a binary design layout), or another suitable form of design layout. The one or more characteristics 410 may include one or more geometric characteristics (e.g., absolute position, relative position, and/or shape) of one or more patterns in the portion. The one or more characteristics 410 may include statistical characteristics of one or more patterns in the portion. The one or more characteristics 410 may include a parameterization of the portion (e.g., a value of a function of one or more patterns in the portion), such as a projection onto some base function. The one or more characteristics 410 may include an image (pixelated, binary, or continuous-tone) derived from the portion. The value of one or more characteristics 430 of M3D of the patterning device comprising or constituting the portion is determined using any suitable method. The value of one or more characteristics 430 of M3D may be determined based on the portion or based on one or more characteristics 410 of the portion. For example, one or more characteristics 430 of M3D may be determined using a computational model. For example, the one or more characteristics 430 may include one or more parameters of an M3D mask transmission function of the patterning device. Values for one or more characteristics 430 of M3D may be derived from results 420 of a patterning process using a patterning device. The result 420 may be an image (e.g., an aerial image, a resist image, and/or an etch image) or a characteristic thereof (e.g., CD, Mask Error Enhancement Factor (MEEF), process window, yield, etc.) formed on the substrate by the patterning process. The values of the one or more characteristics 410 of the portion of the design layout and the values of the one or more characteristics 430 of M3D are included in training data 440 as one or more samples. The one or more characteristics 410 are feature vectors of the samples and the one or more characteristics 430 are supervisory signals of the samples. In procedure 450, a neural network 460 is trained using training data 440.
Fig. 5 schematically shows a flow diagram of a method of training a neural network that determines M3D (e.g., as represented by one or more parameters of the M3D mask transmission function) of one or more structures on a patterning device, according to an embodiment. Values of one or more properties 510 of a portion of a design layout are obtained. The design layout may be a binary design layout, a continuous tone design layout (e.g., rendered from a binary design layout), or another suitable form of design layout. The one or more characteristics 510 may include one or more geometric characteristics (e.g., absolute position, relative position, and/or shape) of one or more patterns in the portion. The one or more characteristics 510 may include one or more statistical characteristics of one or more patterns in the portion. The one or more characteristics 510 may include a parameterization of the portion (i.e., a value of one or more functions of one or more patterns in the portion), such as a projection onto some base function. The one or more characteristics 510 may include an image (pixelated, binary, or continuous-tone) derived from the portion. Values for one or more characteristics 590 of the patterning process may also be obtained. The one or more characteristics 590 of the patterning process may include one or more characteristics of an illumination source of a lithographic apparatus used in the lithographic process, one or more characteristics of projection optics of a lithographic apparatus used in the lithographic process, one or more characteristics of a post-exposure process (e.g., resist development, post-exposure bake, etching, etc.), or a combination selected therefrom. The values of one or more characteristics 580 of the results of the patterning process using the patterning device that comprises or constitutes the portion are determined. The value of one or more characteristics 580 of the result may be determined based on the portions and the patterning process. The result may be an image (e.g., an aerial image, a resist image, and/or an etch image) formed on the substrate by the patterning process. The one or more characteristics 580 may be CD, Mask Error Enhancement Factor (MEEF), process window, or yield. One or more characteristics 580 of the results may be determined using a computational model. Values of one or more characteristics 510 of the portion of the design layout, values of one or more characteristics 590 of the patterning process, and values of one or more characteristics 580 of the results are included in the training data 540 as one or more samples. One or more characteristics 510 and one or more characteristics 590 are feature vectors of the samples, and one or more characteristics 580 are supervisory signals of the samples. In procedure 550, the neural network 560 is trained using the training data 540.
Fig. 6 schematically shows that examples of one or more characteristics 410 and 510 may include the portion 610 of the design layout, a parameterization 620 of the portion, one or more geometric components 630 of the portion (e.g., one or more areas, one or more corners (horns), one or more edges, etc.), a contone rendering 640 of the one or more geometric components, and/or a contone rendering 650 of the portion.
Fig. 7A schematically shows a flow diagram for deriving and storing one or more M3D models for several patterning processes in a database for future use. In procedure 6002, one or more characteristics of the patterning process 6001 are used to derive an M3D model 6003 for the patterning process 6001. The M3D model 6003 may be obtained by simulation. The M3D model 6003 is stored in a database 6004.
Fig. 7B schematically shows a flow chart for retrieving an M3D model from a database based on a patterning process. In a process 6005, one or more characteristics of the patterning process 6001 are used to query the database 6004 and retrieve the M3D model 6003 for the patterning process 6001.
Fig. 8 is a block diagram illustrating a computer system 100 that may facilitate the implementation of the methods, processes, or apparatuses disclosed herein. Computer system 100 includes a bus 102 or other communication mechanism for communicating information, and a processor 104 (or multiple processors 104 and 105) coupled with bus 102 for processing information. Computer system 100 also includes a main memory 106, such as a Random Access Memory (RAM) or other dynamic storage device, coupled to bus 102 for storing information and instructions to be executed by processor 104. Main memory 106 also may be used for storing temporary variables or other intermediate information during execution of instructions to be executed by processor 104. Computer system 100 also includes a Read Only Memory (ROM)108 or other static storage device coupled to bus 102 for storing static information and instructions for processor 104. A storage device 110, such as a magnetic disk or optical disk, is provided and coupled to bus 102 for storing information and instructions.
Computer system 100 may be coupled via bus 102 to a display 112, such as a Cathode Ray Tube (CRT) or flat panel display or touch panel display, for displaying information to a computer user. An input device 114, including alphanumeric and other keys, is coupled to bus 102 for communicating information and command selections to processor 104. Another type of user input device is cursor control 116, such as a mouse, a trackball, or cursor direction keys for communicating direction information and command selections to processor 104 and for controlling cursor movement on display 112. Such input devices typically have two degrees of freedom in two axes, a first axis (e.g., x) and a second axis (e.g., y), which allows the device to specify positions in a plane. Touch panel (screen) displays may also be used as input devices.
According to one embodiment, portions of one or more methods described herein may be performed by computer system 100 in response to processor 104 executing one or more sequences of one or more instructions contained in main memory 106. Such instructions may be read into main memory 106 from another computer-readable medium, such as storage device 110. Execution of the sequences of instructions contained in main memory 106 causes processor 104 to perform the process steps described herein. One or more processors in a multi-processing arrangement may also be employed to execute the sequences of instructions contained in main memory 106. In alternative embodiments, hard-wired circuitry may be used in place of or in combination with software instructions. Thus, the description herein is not limited to any specific combination of hardware circuitry and software.
The term "computer-readable medium" as used herein refers to any medium that participates in providing instructions to processor 104 for execution. Such a medium may take many forms, including but not limited to, non-volatile media, and transmission media. Non-volatile media includes, for example, optical or magnetic disks, such as storage device 110. Volatile media includes dynamic memory, such as main memory 106. Transmission media includes coaxial cables, copper wire and fiber optics, including the wires that comprise bus 102. Transmission media can also take the form of acoustic or light waves, such as those generated during Radio Frequency (RF) and Infrared (IR) data communications. Common forms of computer-readable media include, for example, a floppy disk, a flexible disk, hard disk, magnetic tape, any other magnetic medium, a CD-ROM, DVD, any other optical medium, punch cards, paper tape, any other physical medium with patterns of holes, a RAM, a PROM, and EPROM, a FLASH-EPROM, any other memory chip or cartridge, a carrier wave as described hereinafter, or any other medium from which a computer can read.
Various forms of computer readable media may be involved in carrying one or more sequences of one or more instructions to processor 104 for execution. For example, the instructions may initially be carried on a magnetic disk of a remote computer. The remote computer can load the instructions into its dynamic memory and send the instructions over a telephone line using a modem. A modem local to computer system 100 can receive the data on the telephone line and use an infra-red transmitter to convert the data to an infra-red signal. An infrared detector coupled to bus 102 can receive the data carried in the infrared signal and place the data on bus 102. The bus 102 carries the data to main memory 106, and the processor 104 fetches and executes instructions from the main memory 106. The instructions received by main memory 106 may optionally be stored on storage device 110 either before or after execution by processor 104.
Computer system 100 may also include a communication interface 118 coupled to bus 102. Communication interface 118 provides a two-way data communication coupling to a network link 120 that is connected to a local network 122. For example, communication interface 118 may be an Integrated Services Digital Network (ISDN) card or a modem to provide a data communication connection to a corresponding type of telephone line. As another example, communication interface 118 may be a Local Area Network (LAN) card to provide a data communication connection to a compatible LAN. Wireless links may also be implemented. In any such implementation, communication interface 118 sends and receives electrical, electromagnetic or optical signals that carry digital data streams representing various types of information.
Network link 120 typically provides data communication through one or more networks to other data devices. For example, network link 120 may provide a connection through local network 122 to a host computer 124 or to data equipment operated by an Internet Service Provider (ISP) 126. ISP 126 in turn provides data communication services through the global packet data communication network now commonly referred to as the "internet" 128. Local network 122 and internet 628 both use electrical, electromagnetic or optical signals that carry digital data streams. The signals through the various networks and the signals on network link 120 and through communication interface 118, which carry the digital data to computer system 100 and from computer system 1700, are exemplary forms of carrier waves transporting the information.
Computer system 100 can send messages and receive data, including program code, through the network(s), network link 120 and communication interface 118. In the Internet example, a server 130 might transmit a requested code for an application program through Internet 128, ISP 126, local network 122 and communication interface 118. For example, one such downloaded application may provide all or part of the methods described herein. The received code may be executed by processor 104 as it is received, and/or stored in storage device 110, or other non-volatile storage for later execution. In this manner, computer system 100 may obtain application code in the form of a carrier wave.
FIG. 9 schematically depicts an exemplary lithographic projection apparatus that can be used in conjunction with the techniques described herein. The apparatus comprises:
an illumination system IL for conditioning the radiation beam B. In this particular case, the illumination system further comprises a radiation source SO;
a first object table (e.g. a patterning device table) MT provided with a patterning device holder for holding a patterning device MA (e.g. a reticle) and connected to a first positioner for accurately positioning the patterning device with respect to the article PS;
a second object table (substrate table) WT provided with a substrate holder for holding a substrate W (e.g. a resist-coated silicon wafer) and connected to a second positioner for accurately positioning the substrate with respect to the object PS;
a projection system ("lens") PS (e.g., a refractive, reflective, or catadioptric optical system) for imaging an irradiated portion of patterning device MA onto a target portion C (e.g., comprising one or more dies) of substrate W.
As depicted herein, the apparatus is of a transmissive type (i.e. employs a transmissive patterning device). However, in general, it may also be reflective (e.g. employing a reflective patterning device). The apparatus may employ a different kind of patterning device to that used for classical masks; examples include a programmable mirror array or an LCD matrix.
A source SO (e.g., a mercury lamp or excimer laser, Laser Produced Plasma (LPP) EUV source) produces a beam of radiation. For example, the beam is fed into an illumination system (illuminator) IL, either directly or after having passed through conditioning apparatus, such as a beam expander Ex. The illuminator IL may comprise an adjusting device AD for setting the outer radial extent and/or the inner radial extent (commonly referred to as σ -outer and σ -inner, respectively) of the intensity distribution in the beam. IN addition, the illuminator IL will generally include various other components, such as an integrator IN and a condenser CO. In this way, the beam B impinging on the patterning device MA has a desired uniformity and intensity distribution in its cross-section.
With respect to FIG. 9, it should be noted that, although the source SO may be within the housing of the lithographic projection apparatus (as is often the case when the source SO is, for example, a mercury lamp), it may also be remote from the lithographic projection apparatus, the radiation beam which it produces being directed into the apparatus (e.g. by means of suitable directing mirrors); the latter situation is often the case when the source SO is an excimer laser (e.g., based on KrF, ArF or F)2Laser action).
The beam PB is then intercepted by the patterning device MA, which is held on the patterning device table MT. Having traversed the patterning device MA, the beam B passes through the lens PL, which focuses the beam B onto a target portion C of the substrate W. With the aid of the second positioning device PW2 (and interferometric device IF), the substrate table WT can be moved accurately, e.g. so as to position different target portions C in the path of the beam PB. Similarly, the first positioning device can be used to accurately position the patterning device MA with respect to the path of the beam B, e.g., after mechanical retrieval of the patterning device MA from a library of patterning devices, or during a scan. In general, movement of the object tables MT, WT will be realized with the aid of a long-stroke module (coarse positioning) and a short-stroke module (fine positioning), which are not explicitly depicted in FIG. 9. However, in the case of a stepper (as opposed to a step-and-scan tool) the patterning device table MT may be connected to a short-stroke actuator only, or may be fixed.
The depicted tool can be used in two different modes:
in step mode, the patterning device table MT is kept essentially stationary, and the entire patterning device image is projected onto the target portion C in one go (i.e. a single "flash"). The substrate table WT is then shifted in the x and/or y direction so that a different target portion C can be irradiated by the beam PB.
In scan mode, substantially the same situation applies, except that a given target portion C is not exposed in a single "flash". Alternatively, the patterning device table MT can be moved in a given direction (the so-called "scan direction", e.g. the y direction) at a rate v, so that the projection beam B scans over the patterning device image; at the same time, the substrate table WT is moved simultaneously in the same or opposite direction at a rate V Mv, where M is the magnification of the lens PL (typically M1/4 or 1/5). In this way, a relatively large target portion C can be exposed without having to compromise on resolution.
FIG. 10 schematically depicts another exemplary lithographic projection apparatus 1000 that can be used in conjunction with the techniques described herein
The lithographic projection apparatus 1000 includes:
-a source collector module SO;
an illumination system (illuminator) IL configured to condition a radiation beam B (e.g. EUV radiation);
a support structure (e.g. a patterning device table) MT constructed to support a patterning device (e.g. a mask or reticle) MA and connected to a first positioner PM configured to accurately position the patterning device;
a substrate table (e.g. a wafer table) WT constructed to hold a substrate (e.g. a resist-coated wafer) W and connected to a second positioner PW configured to accurately position the substrate; and
a projection system (e.g. a reflective projection system) PS configured to project a pattern imparted to the radiation beam B by patterning device MA onto a target portion C (e.g. comprising one or more dies) of the substrate W.
As depicted herein, the apparatus 1000 is of a reflective type (e.g., employing a reflective patterning device). It should be noted that since most materials are absorptive in the EUV wavelength range, the patterning device may have a multilayer reflector comprising a plurality of stacked layers of, for example, molybdenum and silicon. In one example, the multi-stack reflector has 40 layers of molybdenum and silicon in pairs, where each layer is a quarter wavelength thick. Even smaller wavelengths can be produced using X-ray lithography. Since most materials are absorptive at EUV and x-ray wavelengths, the topologically patterned thin sheet of absorbing material (e.g., a TaN absorber on top of a multilayer reflector) of the patterning device defines the regions where features will be printed (positive resist) or not printed (negative resist).
Referring to fig. 10, the illuminator IL receives an euv radiation beam from a source collector module SO. Methods for generating EUV radiation include, but are not necessarily limited to, converting a material into a plasma state, the material having at least one element (e.g., xenon, lithium, or tin) having one or more emission lines in the EUV range. In one such method, often referred to as laser produced plasma ("LPP"), plasma may be produced by irradiating a fuel, such as a droplet, stream or cluster of material having a wire-emitting element, with a laser beam. The source collector module SO may be part of an EUV radiation system comprising a laser (not shown in fig. 10) for providing a laser beam for exciting the fuel. The resulting plasma emits output radiation, e.g., EUV radiation, which is collected using a radiation collector disposed within the source collector module. The laser and the source collector module may be separate entities, for example when a CO2 laser is used to provide a laser beam for fuel excitation.
In such cases, the laser is not considered to form part of the lithographic apparatus and the radiation beam is passed from the laser to the source collector module by means of a beam delivery system comprising, for example, suitable directing mirrors and/or a beam expander. In other cases, the source may be an integral part of the source collector module, for example, when the source is a discharge produced plasma EUV generator (often referred to as a DPP source).
The illuminator IL may comprise an adjuster for adjusting the angular intensity distribution of the radiation beam. Generally, at least an outer radial extent and/or an inner radial extent (commonly referred to as σ -outer and σ -inner, respectively) of the intensity distribution in a pupil plane of the illuminator can be adjusted. In addition, the illuminator IL may include various other components, such as a faceted field mirror arrangement and a faceted pupil mirror arrangement. The illuminator may be used to condition the radiation beam, to have a desired uniformity and intensity distribution in its cross-section.
The radiation beam B is incident on the patterning device (e.g., mask) MA, which is held on the support structure (e.g., patterning device table) MT, and is patterned by the patterning device. After being reflected by the patterning device (e.g. mask) MA, the radiation beam B passes through the projection system PS, which focuses the radiation beam onto a target portion C of the substrate W. With the aid of the second positioner PW and position sensor PS2 (e.g. an interferometric device, linear encoder or capacitive sensor), the substrate table WT can be moved accurately, e.g. so as to position different target portions C in the path of the radiation beam B. Similarly, the first positioner PM and another position sensor PS1 can be used to accurately position the patterning device (e.g. mask) MA with respect to the path of the radiation beam B. Patterning device (e.g. mask) MA and substrate W may be aligned using patterning device alignment marks M1, M2 and substrate alignment marks P1, P2.
The illustrated device 1000 can be used in at least one of the following modes:
1. in step mode, the support structure (e.g. patterning device table) MT and the substrate table WT are kept essentially stationary, while an entire pattern imparted to the radiation beam is projected onto a target portion C at one time (i.e. a single static exposure). The substrate table WT is then shifted in the X and/or Y direction so that a different target portion C can be exposed.
2. In scan mode, the support structure (e.g. patterning device table) MT and the substrate table WT are scanned synchronously while a pattern imparted to the radiation beam is projected onto a target portion C (i.e. a single dynamic exposure). The velocity and direction of the substrate table WT relative to the support structure (e.g. patterning device table) MT may be determined by the (de-) magnification and image reversal characteristics of the projection system PS.
3. In another mode, a support structure (e.g. a patterning device table) MT is kept essentially stationary holding a programmable patterning device, and a pattern imparted to the radiation beam is projected onto a target portion C while the substrate table WT is moved or scanned. In this mode, generally a pulsed radiation source is employed and the programmable patterning device is updated as required after each movement of the substrate table WT or in between successive radiation pulses during a scan. This mode of operation can be readily applied to maskless lithography that utilizes programmable patterning device, such as a programmable mirror array of a type as referred to above.
Fig. 11 shows the apparatus 1000 in more detail, comprising the source collector module SO, the illumination system IL and the projection system PS. The source collector module SO is constructed and arranged such that a vacuum environment is maintained within the enclosure 220 of the source collector module SO. The EUV radiation-emitting plasma 210 may be formed by a discharge-generating plasma source. EUV radiation may be produced by a gas or vapor, such as xenon, lithium vapor, or tin vapor, in which a very high temperature plasma 210 is generated to emit radiation in the EUV range of the electromagnetic spectrum. For example, the very high temperature plasma 210 is generated by causing an electrical discharge of an at least partially ionized plasma. For efficient generation of radiation, Xe, Li, Sn vapour or any other suitable gas or vapour may be required, for example at a partial pressure of 10 Pa. In an embodiment, an excited tin (Sn) plasma is provided to generate EUV radiation.
Radiation emitted by the high temperature plasma 210 is transferred from the source chamber 211 into the collector chamber 212 via an optional gas barrier or contaminant trap 230 (also referred to as a contaminant barrier or foil trap in some cases) positioned in or behind an opening in the source chamber 211. Contaminant trap 230 may include a channel structure. Contaminant trap 230 may also include a gas barrier, or a combination of a gas barrier and a channel structure. As is known in the art, the contaminant trap or contaminant barrier 230 further illustrated herein includes at least a channel structure.
The collector chamber 211 may comprise a radiation collector CO which may be a so-called grazing incidence collector. The radiation collector CO has an upstream radiation collector side 251 and a downstream radiation collector side 252. Radiation passing through the collector CO may be reflected off the grating spectral filter 240 and then focused at the virtual source point IF along the optical axis indicated by the dash-dot line 'O'. The virtual source point IF is often referred to as an intermediate focus, and the source collector module is arranged such that the intermediate focus IF is located at or near the opening 221 in the enclosing structure 220. The virtual source point IF is an image of the plasma 210 used to emit radiation.
The radiation then passes through an illumination system IL, which may comprise a faceted field mirror device 22 and a faceted pupil mirror device 24, the faceted field mirror device 22 and faceted pupil mirror device 24 being arranged to provide a desired angular distribution of the radiation beam 21 at the patterning device MA, and a desired radiation intensity uniformity at the patterning device MA. When the radiation beam 21 reflects at the patterning device MA, which is held by the support structure MT, a patterned beam 26 is formed and the patterned beam 26 is imaged by the projection system PS via reflective elements 28, 30 onto a substrate W held by the substrate table WT.
There may typically be more elements in the illumination optics unit IL and projection system PS than illustrated. The grating spectral filter 240 may optionally be present, depending on the type of lithographic apparatus. Furthermore, there may be more mirrors than those shown in the figures, for example 1-6 additional reflective elements may be present in the projection system PS in addition to those shown in FIG. 11.
Collector optic CO (shown in fig. 11) is shown as a nested collector with grazing incidence reflectors 253, 254, and 255, as just one example of a collector (or collector mirror). Grazing incidence reflectors 253, 254 and 255 are arranged axially symmetrically about optical axis O and collector optics CO of this type can be used in conjunction with a discharge produced plasma source, commonly referred to as a DPP source.
Alternatively, the source collector module SO may be part of the LPP radiation system as shown in fig. 12. The laser LA is arranged to deposit laser energy into a fuel, such as xenon (Xe), tin (Sn) or lithium (Li), thereby generating a highly ionized plasma 210 with electron temperatures of several tens of eV. Energetic radiation generated during de-excitation and recombination of these ions is emitted by the plasma, collected by the near normal incidence collector optics CO and focused onto the opening 221 of the enclosing structure 220.
The embodiments may be further described using the following aspects:
1. a method, comprising:
obtaining a value of a characteristic of a portion of a design layout;
determining a value of a characteristic of M3D of a patterning device comprising or constituting the portion; and
training, by a hardware computer, a neural network using training data comprising samples whose feature vectors comprise characteristics of the portion and whose supervisory signals comprise characteristics of M3D.
2. The method of aspect 1, wherein the design layout is a binary design layout or a continuous tone design layout.
3. The method of aspect 1 or aspect 2, wherein the characteristic of the portion comprises a geometric characteristic of a pattern in the portion, a statistical characteristic of a pattern in the portion, a parameterization of the portion, or an image derived from the portion.
4. The method of aspect 3, wherein the characteristic of the portion comprises a parameterization of the portion, and the parameterization of the portion is a projection of the portion on one or more basis functions.
5. The method of aspect 3, wherein the characteristic of the portion comprises an image derived from the portion, and wherein the image is a pixilated image, a binary image, or a continuous tone image.
6. The method of any of aspects 1-5, wherein the characteristic of M3D comprises a parameter of an M3D mask transmission function of the patterning device.
7. The method of any of aspects 1-6, wherein the characteristic of M3D is determined based on the portion.
8. The method of any of aspects 1-7, wherein the characteristic of M3D is determined using a computational model.
9. The method of any of aspects 1-8, further comprising determining a value of a characteristic of M3D from a result of a patterning process using the patterning device.
10. The method of aspect 9, wherein the result is an image formed on a substrate by the patterning process, or a characteristic of the image.
11. The method of any of aspects 1-10, wherein the characteristic of the portion comprises a geometric component of the portion, or a contone rendering of the geometric component.
12. A method, comprising:
obtaining a value of a characteristic of a portion of a design layout;
obtaining a value of a characteristic of a patterning process using a patterning device that comprises or constitutes the portion;
determining a value of a characteristic of a result of the patterning process; and
training, by a hardware computer, a neural network using training data comprising samples, feature vectors of the samples comprising characteristics of the portions and characteristics of the patterning process, and supervisory signals of the samples comprising characteristics of the results.
13. The method of aspect 12, wherein the design layout is a binary design layout or a continuous tone design layout.
14. The method of aspect 12 or aspect 13, wherein the characteristic of the portion comprises a geometric characteristic of a pattern in the portion, a statistical characteristic of a pattern in the portion, a parameterization of the portion, or an image derived from the portion.
15. The method of aspect 14, wherein the characteristic of the portion comprises a parameterization of the portion, and the parameterization of the portion is a projection of the portion on one or more basis functions.
16. The method of aspect 14, wherein the characteristic of the portion comprises an image derived from the portion, and the image is a pixilated image, a binary image, or a continuous tone image.
17. The method of any of aspects 12-16, wherein the characteristic of the patterning process comprises a characteristic of an illumination source of a lithographic apparatus used in a lithographic process, a characteristic of projection optics of the lithographic apparatus, a characteristic of a post-exposure process, or any combination selected therefrom.
18. The method of any of aspects 12-17, wherein a value of a characteristic of the result is determined based on the portion and the lithographic process.
19. The method of any of aspects 12-18, wherein the result is an image formed on a substrate by the lithographic process, or a characteristic of the image.
20. The method of any of aspects 12-19, wherein the value of the characteristic of the result is determined using a computational model.
21. The method of any of aspects 12-20, wherein the characteristic of the result is selected from one or more of: critical dimension, mask error enhancement factor, process window, yield, and/or any combination selected therefrom.
22. The method of any of aspects 12-21, wherein the characteristic of the portion comprises a geometric component of the portion, or a contone rendering of the geometric component.
23. The method of any of aspects 1-22, further comprising determining an M3D mask transmission function of the patterning device using the neural network.
24. The method of aspect 23, further comprising determining an image resulting from the patterning process using the M3D mask transmission function.
25. The method of aspect 24, wherein determining the image comprises determining an electromagnetic radiation field after the radiation interacts with the patterning device by using the M3D mask transmission function and the electromagnetic radiation field of the radiation before the radiation interacts with the patterning device.
26. A computer program product comprising a non-transitory computer readable medium having instructions recorded thereon, the instructions, when executed by a computer, performing the method of any of aspects 1-25.
The concepts disclosed herein may simulate or mathematically model any general-purpose imaging system for imaging sub-wavelength features, and may be particularly useful for emerging imaging technologies capable of producing shorter and shorter wavelengths. Emerging technologies that have been in use include EUV (extreme ultraviolet), DUV lithography capable of producing 193nm wavelength by using ArF lasers and even capable of producing 157nm wavelength by using fluorine lasers. Furthermore, to produce photons in this range, EUV lithography can produce wavelengths in the range of 20-5nm by using synchrotrons or by using high energy electrons to strike the material (solid or plasma).
Although the concepts disclosed herein may be used to image on a substrate, such as a silicon wafer, it should be understood that the disclosed concepts may be used with any type of lithographic imaging system, such as a lithographic imaging system for imaging on substrates other than silicon wafers.
The above description is intended to be illustrative and not restrictive. Accordingly, it will be apparent to those skilled in the art that modifications may be made as described without departing from the scope of the claims set out below.

Claims (25)

1. A method of training a neural network, comprising:
obtaining a value of a characteristic of a portion of a design layout;
determining a value of a characteristic of a mask 3D effect of a patterning device comprising or constituting the portion; and
training, by a hardware computer, a neural network using training data comprising samples, the feature vectors of which comprise characteristics of the portions and the supervisory signals of which comprise characteristics that mask 3D effects.
2. The method of claim 1, wherein the design layout is a binary design layout or a continuous tone design layout.
3. The method of claim 1, wherein the characteristic of the portion comprises a geometric characteristic of a pattern in the portion, a statistical characteristic of a pattern in the portion, a parameterization of the portion, or an image derived from the portion.
4. The method of claim 3, wherein the characteristic of the portion comprises a parameterization of the portion, and the parameterization of the portion is a projection of the portion on one or more basis functions.
5. The method of claim 3, wherein the characteristic of the portion comprises an image derived from the portion, and wherein the image is a pixilated image, a binary image, or a continuous tone image.
6. The method of claim 1, wherein the characteristic of the mask 3D effect comprises a parameter of a mask 3D effect mask transmission function of the patterning device.
7. The method of claim 1, wherein a characteristic of a mask 3D effect is determined based on the portion.
8. The method of claim 1, wherein the characteristics of the mask 3D effect are determined using a computational model.
9. The method of claim 1, further comprising determining a value of a characteristic of a mask 3D effect from a result of a patterning process using the patterning device, and/or
Wherein the result is an image formed on a substrate by the patterning process, or a characteristic of the image.
10. The method of claim 1, wherein the characteristic of the portion comprises a geometric component of the portion, or a continuous tone rendering of the geometric component.
11. A method of training a neural network, comprising:
obtaining a value of a characteristic of a portion of a design layout;
obtaining a value of a characteristic of a patterning process using a patterning device that comprises or constitutes the portion;
determining a value of a characteristic of a result of the patterning process; and
training, by a hardware computer, a neural network using training data comprising samples, feature vectors of the samples comprising characteristics of the portion and characteristics of the patterning process, and supervisory signals of the samples comprising characteristics of the result, wherein the neural network is configured to output characteristics of mask 3D effects of patterning devices comprising or constituting the portion.
12. A non-transitory computer-readable medium comprising instructions therein, which when executed by a computer system, are configured to cause the computer system to at least:
obtaining a value of a characteristic of a portion of a design layout;
obtaining a value of a characteristic of a patterning process using a patterning device that comprises or constitutes the portion;
determining a value of a characteristic of a result of the patterning process; and
training, by a hardware computer, a neural network using training data comprising samples, feature vectors of the samples comprising characteristics of the portion and characteristics of the patterning process, and supervisory signals of the samples comprising characteristics of the result, wherein the neural network is configured to output characteristics of mask 3D effects of patterning devices comprising or constituting the portion.
13. The computer-readable medium of claim 12, wherein the design layout is a binary design layout or a continuous tone design layout.
14. The computer-readable medium of claim 12, wherein the characteristic of the portion comprises a geometric characteristic of a pattern in the portion, a statistical characteristic of a pattern in the portion, a parameterization of the portion, or an image derived from the portion.
15. The computer-readable medium of claim 14, wherein the characteristics of the portion comprise a parameterization of the portion, and the parameterization of the portion is a projection of the portion on one or more basis functions, and/or
Wherein the characteristic of the portion comprises an image derived from the portion, and the image is a pixilated image, a binary image, or a continuous tone image.
16. The computer readable medium of claim 12, wherein the characteristic of the patterning process comprises a characteristic of an illumination source of a lithographic apparatus used in a lithographic process, a characteristic of projection optics of the lithographic apparatus, a characteristic of a post-exposure process, or any combination selected therefrom.
17. A non-transitory computer-readable medium comprising instructions therein, which when executed by a computer system, are configured to cause the computer system to at least:
obtaining a value of a characteristic of a portion of a design layout;
determining a value of a characteristic of a mask 3D effect of a patterning device comprising or constituting the portion; and
training a neural network using training data comprising samples, the feature vectors of which comprise characteristics of the portions and the supervisory signals of which comprise characteristics of masking 3D effects.
18. The computer-readable medium of claim 17, wherein the characteristics of the portion comprise geometric characteristics of a pattern in the portion, statistical characteristics of a pattern in the portion, a parameterization of the portion, or an image derived from the portion.
19. The computer readable medium of claim 17, wherein the instructions, when executed by a computer system, are further configured to cause the computer system to determine a value for a characteristic of a mask 3D effect from a result of a patterning process using the patterning device.
20. The computer-readable medium of claim 17, wherein the design layout is a binary design layout or a continuous tone design layout.
21. The computer-readable medium of claim 18, wherein the characteristics of the portion comprise a parameterization of the portion, and the parameterization of the portion is a projection of the portion on one or more basis functions, and/or
Wherein the characteristic of the portion comprises an image derived from the portion, and the image is a pixilated image, a binary image, or a continuous tone image.
22. The computer readable medium of claim 17, wherein the characteristic of the mask 3D effect comprises a parameter of a mask 3D effect mask transmission function of the patterning device.
23. The computer-readable medium of claim 17, wherein a characteristic of a mask 3D effect is determined based on the portion.
24. The computer-readable medium of claim 17, wherein the characteristics of the mask 3D effect are determined using a computational model.
25. The computer-readable medium of claim 17, wherein the characteristic of the portion comprises a geometric component of the portion, or a contone rendering of the geometric component of the portion.
CN201880013152.1A 2017-02-22 2018-02-13 Method for determining scattering of radiation by means of a structure of limited thickness on a patterning device Active CN110337614B (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762462337P 2017-02-22 2017-02-22
US62/462,337 2017-02-22
PCT/EP2018/053589 WO2018153735A1 (en) 2017-02-22 2018-02-13 Methods of determining scattering of radiation by structures of finite thicknesses on a patterning device

Publications (2)

Publication Number Publication Date
CN110337614A CN110337614A (en) 2019-10-15
CN110337614B true CN110337614B (en) 2021-12-10

Family

ID=61249626

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880013152.1A Active CN110337614B (en) 2017-02-22 2018-02-13 Method for determining scattering of radiation by means of a structure of limited thickness on a patterning device

Country Status (5)

Country Link
US (1) US10996565B2 (en)
KR (2) KR102323989B1 (en)
CN (1) CN110337614B (en)
TW (1) TWI667553B (en)
WO (1) WO2018153735A1 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018121967A1 (en) * 2016-12-28 2018-07-05 Asml Netherlands B.V. Methods of determining scattering of radiation by structures of finite thicknesses on a patterning device
WO2023144677A1 (en) * 2022-01-28 2023-08-03 D2S, Inc. Method and system for reticle enhancement technology

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080134131A1 (en) * 2006-10-20 2008-06-05 Masafumi Asano Simulation model making method
US20110145769A1 (en) * 2006-01-11 2011-06-16 Olambda, Inc. Computational efficiency in photolithographic process simulation

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1997033205A1 (en) 1996-03-06 1997-09-12 Philips Electronics N.V. Differential interferometer system and lithographic step-and-scan apparatus provided with such a system
US6115108A (en) * 1998-12-04 2000-09-05 Advanced Micro Devices, Inc. Illumination modification scheme synthesis using lens characterization data
US6674522B2 (en) * 2001-05-04 2004-01-06 Kla-Tencor Technologies Corporation Efficient phase defect detection system and method
DE102004031681A1 (en) * 2004-06-30 2006-01-26 Siemens Ag Method and device for user-specific parameterization of a roentgen device
DE102005036892A1 (en) 2005-08-05 2007-02-08 Hehl, Karl, Prof. Dr. Photolithographic illustration and transmission of complete mask layout simulation method for use in semiconductor manufacture involves joining overlapping areas by interpolation such that image of entire chip is produced
JP2008033277A (en) * 2006-06-29 2008-02-14 Sharp Corp Correction method and correction system for design data or mask data, validation method and validation system for design data or mask data, yield estimation method for semiconductor integrated circuit, method for improving design rule, method for producing mask, and method for manufacturing semiconductor integrated circuit
NL1036189A1 (en) 2007-12-05 2009-06-08 Brion Tech Inc Methods and System for Lithography Process Window Simulation.
NL2010163A (en) * 2012-02-07 2013-08-08 Asml Netherlands Bv Substrate-topography-aware lithography modeling.
US10067425B2 (en) * 2016-03-29 2018-09-04 Mentor Graphics Corporation Correcting EUV crosstalk effects for lithography simulation
WO2018121967A1 (en) * 2016-12-28 2018-07-05 Asml Netherlands B.V. Methods of determining scattering of radiation by structures of finite thicknesses on a patterning device

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110145769A1 (en) * 2006-01-11 2011-06-16 Olambda, Inc. Computational efficiency in photolithographic process simulation
US20080134131A1 (en) * 2006-10-20 2008-06-05 Masafumi Asano Simulation model making method

Also Published As

Publication number Publication date
WO2018153735A1 (en) 2018-08-30
KR20210134840A (en) 2021-11-10
US20200012196A1 (en) 2020-01-09
TW201841073A (en) 2018-11-16
KR20190117709A (en) 2019-10-16
US10996565B2 (en) 2021-05-04
KR102323989B1 (en) 2021-11-10
CN110337614A (en) 2019-10-15
KR102376267B1 (en) 2022-03-18
TWI667553B (en) 2019-08-01

Similar Documents

Publication Publication Date Title
TWI833068B (en) Methods of determining process models by machine learning
KR20210082247A (en) A method for reducing uncertainty in machine learning model predictions.
CN114096917B (en) Prediction data selection for model calibration to reduce model prediction uncertainty
CN114341885A (en) Method for increasing the certainty of a parameterized model prediction
KR20150124967A (en) A lithography model for three-dimensional patterning device
US11789371B2 (en) Methods of determining scattering of radiation by structures of finite thicknesses on a patterning device
CN110337614B (en) Method for determining scattering of radiation by means of a structure of limited thickness on a patterning device
EP3951496A1 (en) Apparatus and method for selecting informative patterns for training machine learning models
EP3531206A1 (en) Systems and methods for improving resist model predictions
JP7515626B2 (en) Aberration-affected systems, models, and manufacturing processes
CN115513079A (en) Etching system, model and manufacturing process
CN110325921B (en) Method for fine tuning process model
CN112823312A (en) Method for high numerical aperture through-slit source mask optimization
WO2023110346A1 (en) Methods, software, and systems for determination of constant-width sub-resolution assist features
WO2024041831A1 (en) Modelling of multi-level etch processes

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant