CN110073462A - 借助于粒子束处理基板表面的方法和装置 - Google Patents

借助于粒子束处理基板表面的方法和装置 Download PDF

Info

Publication number
CN110073462A
CN110073462A CN201780076549.0A CN201780076549A CN110073462A CN 110073462 A CN110073462 A CN 110073462A CN 201780076549 A CN201780076549 A CN 201780076549A CN 110073462 A CN110073462 A CN 110073462A
Authority
CN
China
Prior art keywords
substrate
pulse
particle beams
particle
area
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201780076549.0A
Other languages
English (en)
Inventor
T·顿格
M·德姆勒
C·舒尔茨
T·托纳特
M·泽纳
M·内斯特勒
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sicia Systems Co Ltd
Original Assignee
Sicia Systems Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sicia Systems Co Ltd filed Critical Sicia Systems Co Ltd
Publication of CN110073462A publication Critical patent/CN110073462A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/305Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching
    • H01J37/3053Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching for evaporating or etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/48Ion implantation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/221Ion beam deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/304Controlling tubes by information coming from the objects or from the beam, e.g. correction signals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/305Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching
    • H01J37/3053Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching for evaporating or etching
    • H01J37/3056Electron-beam or ion-beam tubes for localised treatment of objects for casting, melting, evaporating or etching for evaporating or etching for microworking, e.g. etching of gratings, trimming of electrical components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3402Gas-filled discharge tubes operating with cathodic sputtering using supplementary magnetic fields
    • H01J37/3405Magnetron sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3464Operating strategies
    • H01J37/3467Pulsed operation, e.g. HIPIMS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/06Sources
    • H01J2237/08Ion sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/304Controlling tubes
    • H01J2237/30455Correction during exposure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/304Controlling tubes
    • H01J2237/30466Detecting endpoint of process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/304Controlling tubes
    • H01J2237/30472Controlling the beam
    • H01J2237/30483Scanning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/31Processing objects on a macro-scale
    • H01J2237/3151Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3174Etching microareas

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Welding Or Cutting Using Electron Beams (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明涉及一种借助于粒子束处理基板表面的方法和装置。该方法包括:照射基板(114)的表面(302),其中在基板(114)的表面(302)的第一区域(308)中,用粒子束(104)处理基板(114)的表面(302),粒子束非脉冲(306)冲击在所述基板(114)的表面(302)上;并且其中在基板(114)的表面(302)的第二区域(310)中,用粒子束(104)处理基板(114)的表面(302),粒子束脉冲(304)冲击在所述基板(114)的表面(302)上。

Description

借助于粒子束处理基板表面的方法和装置
技术领域
本发明涉及借助于粒子束处理基板的表面的方法和装置。
背景技术
在工业中,例如当组件的表面具有不平坦情况,以及表面与目标形状(目标)(即,目标平面)之间存在偏差,比如具有过多或过少的材料,则应用对涂覆的半导体或其他组件表面进行表面处理的方法。。
例如,可以借助于离子束蚀刻来去除过量的材料。在进行位置选择性离子束蚀刻的情况下,离子束相对于待处理的表面移动。待处理的表面可以分成多个表面区段。扫描时,离子束以预定的时间相应地在各表面区段中停留。
图4示出了具有表面406的基板400的示意性横截面图,其中会借助于离子束将该表面406处理为给定的均匀性或粗糙度402。在进行离子束蚀刻的情况下,在待处理的表面406的每个表面区段中进行材料的去除。离子束重复多次地,即,在多次照射通道中(所谓的扫描S1、S2、S3过程中)作用在表面上,并且在每次扫描时去除大量材料。
目前,在进行表面修改时,在整个工艺持续时间内使用具有高电流密度分布的时间常数的离子束,以实现给定的精确的局部基板去除或基板沉积。
对于每次扫描,这可以涉及最小量的材料,其也称为基础蚀刻或基座蚀刻408(基础蚀刻)。基座蚀刻406取决于离子束的束轮廓、离子的能量、技术上最大可能的行进速度和换行(line feed)。由于基板上的热应力,每次扫描可去除的材料的量被限制于最大值404。在每次扫描时,例如,借助于离子照射可以移除厚度范围在5nm至30nm内的材料层。因此,为了实现更大的去除效果,在基板上进行多次扫描。
然而,基座蚀刻导致在待处理组件的整个表面上发生不必要的材料去除,由此导致不必要的处理时间,并且使得目标平面402的均匀性下降。
替代地,应用电切换离子束,其脉冲持续时间被调谐到相应的表面区段。对于基板的设置有较小变化的那些位置,利用较少的停留时间,并且同时以较短的离子束脉冲持续时间对其进行处理,而对于基板的设置有较多材料去除的那些位置,则利用相应较多的停留时间,并且同时以较长的离子束脉冲持续时间对其进行处理。在这种情况下,待消耗的总停留时间在扫描次数S1、S2、S3之间平均分配。
然而,在表面区段上,离子束的接通和断开关联到每个脉冲。通过接通和断开操作,材料去除包括时间性的边缘轮廓。边缘轮廓以及开关操作所发生的位置可能在时间上和/或空间上有波动。边缘轮廓导致离子束蚀刻时的系统误差。由此,每个照射时段具有离子束的接通和断开的边缘轮廓,使得各个时段的系统误差发生累积。这使离子束处理的精度降低。
发明内容
在各实施例中,提供了一种借助于粒子束处理基板表面的方法和装置,其至少减轻一些上述缺点或甚至完全避免了上述缺点。
在各实施例中,提供了一种借助于粒子束处理基板的表面的方法。该方法包括用粒子束照射基板的表面。当照射时,在基板的表面的第一区域中,用粒子束处理基板的表面,该粒子束非脉冲冲击在基板的表面上。在基板的表面的至少一个第二区域中,当照射时,用粒子束处理基板的表面,该粒子束以脉冲冲击在基板的表面上。
可以在扫描过程(即对基板表面的处理进程)中执行对基板的表面的脉冲照射和非脉冲照射。
通过将第一处理时的非脉冲照射与第二处理时的脉冲照射进行组合,可以降低或最小化处理表面的脉冲数。
因此,与纯脉冲处理相比,可以使由基板处理中的脉冲边缘所产生的误差降低或最小化。否则,每个脉冲的误差会累积在所有照射通道(扫描)上。例如,当接通和断开粒子束在表面上的冲击时,由脉冲边缘所产生的误差经由持续的粒子束轮廓而产生。
与对表面进行非脉冲处理(即,连续波处理)相比,可以避免或降低对表面进行不必要的或不充分的处理。由此,对表面的处理可以更精确,并且表面可以具有更低的粗糙度或更高的均匀性或与给定的表面光洁度一致。
在另外的各实施方式中,该方法包括用粒子束照射基板的表面,其中,当在基板的表面的第一区域中进行照射时,用粒子束处理基板表面,粒子束以第一占空比脉冲冲击在基板表面上。在基板表面的至少一个第二区域中,用粒子束处理基板表面,粒子束以第二占空比脉冲冲击在基板表面上。第二占空比不同于第一占空比。
占空比也可以称为占空度(Tastgrad)、占空率(Tastrate)或占空比例为了确定占空比,可以将表面分成多个大小相等的区段或区域,借助于粒子束来处理(即,照射)该区段或区域。为了确定占空比,还采用:以每个区段粒子束的相同或恒定的能量密度来处理这些区段。因此,占空比为区段中接通光束的时间与区段中光束的总停留时间之间的比值。因此,在各实施方案中,占空比涉及每个表面区段的停留时间。
例如,区段的大小(即,其边缘长度)由粒子束的光束轮廓产生,例如,在高斯光束的情况下,由半宽和/或步长,即,粒子束位置在基板表面上的最小机械变化而产生。
显然,基板表面的非处理包括0.0的占空比。非脉冲处理包括1.0的占空比。脉冲处理包括大于0.0且小于1.0的占空比。
例如,第一占空比可以包括0.0至1.0范围内的值。例如,第二占空比包括大于0.0且小于1.0的值。
在各实施例中,该方法包括非脉冲照射,在该非脉冲照射情况下,用粒子束处理基板表面,该粒子束非脉冲冲击在基板表面上。
在各实施例中,脉冲照射和非脉冲照射可以叠加在表面的区域或区段中。非脉冲照射也可以称为连续波照射。例如,在恒定的能量密度的情况下,与非脉冲照射相比,脉冲照射可以具有更低的去除率或沉积率。这使得能够减小粒子束的脉冲宽度并减少粒子束的接通/断开操作(以及与此相关联的粒子束控制的更小或更少的边缘)。由此,可以导致更加可靠的表面处理。
然而,在各实施方案中,可以改变每个区段的停留时间和各个区段之间的去除率或沉积率,例如,以实现脉冲幅度调制或脉冲频率调制。例如在粒子束的恒定能量密度的情况下,例如,关于非脉冲处理,降低或增加每个表面区段或每个脉冲的停留时间。由此,可以改变去除率或沉积率,并且明显地,可以增加或降低脉冲幅度。然而,脉冲处理的去除率或沉积率还可以对应于非脉冲处理的去除率或沉积率在各表面区段上的平均。例如,脉冲处理可以包括用相对窄的脉冲(即,具有较低的粒子束前进速度)、较高的停留时间处理基板表面。前进速度是指在一扫描行内使粒子束前进,以控制该扫描行中表面区域内的停留时间。换行是指将粒子束从一扫描行行进至后续扫描行。换行不会直接影响粒子束在各表面区域中的停留时间。
在一个实施例中,在没有或基本上没有脉冲中断并且具有增加的或降低的脉冲振幅的情况下,例如,基于对表面的相同区域(即借助于脉冲幅度调制)的非脉冲照射,来执行对表面的脉冲照射。
在一个实施例中,该方法还包括为表面的每个待处理区域确定脉冲处理的次数和非脉冲处理的次数。通过在开始处理之前清楚地限定对基板的处理,使得能够优化表面处理。
在又一个实施例中,确定脉冲处理的次数可以包括确定脉冲宽度、脉冲幅度、脉冲形状、脉冲位置和/或脉冲分布。例如,经由待去除或沉积的材料量得出用粒子束脉冲处理基板表面的占空比。由此可以优化所需的脉冲数量、其宽度,(边缘)形状和位置(例如,优化),从而降低该方法的系统误差。
例如,脉冲分布可以包括例如相对于一个或多个参考点的脉冲位置。参考点例如是待处理区域的边缘或中心。例如,脉冲分布可以是脉冲相对于待处理区域的中心的镜像对称分布。
在又一个实施例中,粒子束可以脉冲冲击在基板的表面上,使得脉冲关于脉冲处理的区域的中心对称地布置。这允许脉冲处理的区域的更均匀表面。
在又一个实施例中,该方法还包括在基板的至少一个区域中,限定在表面上方或下方的基准平面。当借助于该处理,该区域的表面与基准平面具有预定的比例关系时,在该区域中脉冲处理该基板。在其他情况下,可以非脉冲处理所述区域或不借助于粒子束处理所述区域。
换句话说,可以在基板的至少一部分中在表面上方或下方限定基准平面。当该区域的表面与基准平面具有预定的比例关系时,在该区域中脉冲处理基板,并且在其他情况下非脉冲处理该区域或不处理该区域。
例如,基准平面是借助于粗加工方法(例如化学机械抛光)进行平均而形成的平面。例如,可以对表面的区段表面布置在基准平面下方的情况执行去除材料的脉冲照射。例如,可以对表面的多个区段表面布置在基准平面上方或者在基准平面附近的情况执行去除材料的非脉冲照射。例如,当借助于非脉冲照射未得到预定的目标平面时,该表面位于基准平面附近。
例如,在基板的区域中,以非脉冲从基板表面移除材料。如果在该区域中,表面位于基准平面中,则可改变该区域的照射类型(即模式),例如切换到脉冲方式的材料去除。例如,可以在该区域中以脉冲方式执行材料去除,例如,直到该区域的表面布置在预定的目标平面中。随后,对该区域的处理可以是非照射方式,即,可以在后续的对基板表面的扫描过程中使粒子束在该区域中被阻挡。换句话说,在各实施例中,对基板的表面的至少一个区域进行脉冲处理和非脉冲处理。脉冲处理和非脉冲处理可以同时或在不同时间(例如在不同的扫描过程中)执行。如果表面布置在基准平面的略上方,则例如,脉冲处理可以包括脉冲处理和非脉冲处理的叠加。因此,在各实施例中,可以降低扫描操作次数和/或提高处理的精度(例如,在处理之后降低基板表面的粗糙度或波状度)。
在又一个实施例中,该方法还包括为表面的每个待处理区域确定第一占空比和第二占空比。
在又一个实施例中,在表面的区域中执行非脉冲照射之后,在表面的该相同区域中执行脉冲照射。
在又一个实施例中,在表面的区域中执行脉冲照射之后,在表面的相同区域中执行非脉冲照射。
在又一个实施例中,在非脉冲照射基板的整个表面之后,在表面区域中执行脉冲照射和非脉冲照射。替代地,在脉冲照射和非脉冲照射表面的区域之后,执行非脉冲照射基板的整个表面。
在各实施例中,粒子束是中性粒子束、离子束、粒子簇束(Teilchenbündel)、中性粒子团(Neutralteilchenkonglomeraten)束(中性粒子簇,所谓的气体团簇),离子粒子团束(所谓的气体团簇离子)或电子束。在此,中性粒子理解为对外不带电的粒子,例如原子、分子或聚集体这两者之一。然而,例如,中性粒子可包括部分电荷或偶极子等。在这个意义上,离子或电子不是中性粒子。
在各实施例中,当用粒子束处理表面时,可以从基板表面或基板表面的一部分移除材料。例如,该处理为离子束蚀刻。
替代地或附加地,当用粒子束处理表面时,在基板表面上或基板表面的一部分上沉积材料。例如,该处理为磁控溅射。当进行磁控溅射时,在束源中,电场和磁场叠加,使得激发的等离子体的电子偏转到盘绕路径(如螺旋线)上,并且在束源电路的溅射材料的表面上方。由此,激发气体中的电子的路径长度延长并且每个电荷载体的碰撞次数增加。结果是形成强烈的低压等离子体,即所谓的磁位等离子体。该磁位等离子体的正电荷载体通过电势加速到溅射材料的表面上,并且通过冲击过程从溅射材料的表面释放中性粒子。释放的中性粒子又在基板的方向上形成粒子流,即中性粒子束。在特定实施例中,光束也可以发生部分电离。
在各实施例中,磁控溅射是高能脉冲磁控溅射(high-power impulse magnetronsputtering,HiPIMS)。例如,脉冲发生器(即,断路器),用于功率调控。在磁控溅射时,通过利用大于1MW的功率进行脉冲放电,可以达到更高程度的粒子束的电离,例如,这可能导致生长层的性质变化,例如,生长层的更高的粘合度。
在一个实施例中,第一区域可以与第二区域不同。第二区域可以在时间和/或空间方面有所不同。例如,第二区域布置在第一区域旁边。替代地或附加地,可以以与处理第一区域不同的时间点,即,在另一次照射时对第二区域进行脉冲处理。
在一个实施例中,该方法还包括检测基板的表面的至少一部分的表面特性。例如,可以在照射之前检测表面特性。可以基于该表面特性确定基准平面、目标平面、表面的区段的尺寸以及每个区段的各照射通道(扫描)中的照射的占空比。
借助于每个表面区段的多次扫描,可以降低输入到基板中的热量,这是因为,多次扫描之间的部分热量通过热量散失和热辐射而消散。由此,可以降低第一区域中的热应力,从而避免基板遭受较低的破裂风险或者避免对基板的其他不利的热影响。
在又一个实施例中,该方法还包括确定基板的表面上方或下方的目标平面。在基板的表面的至少一个区域中处理基板,直至得到目标平面。
在又一个实施例中,该方法还包括进一步照射基板表面。在进一步照射时,在例如已经得到目标平面的基板表面的区域中阻挡粒子束,使得在该区域中,粒子束不处理表面。对粒子束的阻挡可以例如借助于遮光物(遮板)来进行和/或通过关闭粒子束来进行。
在又一个实施方案中,该方法具有至少一种另外的进一步照射。在另外的进一步照射时,用粒子束处理非脉冲照射的基板的表面的第一区域,该粒子束脉冲冲击在基板的表面上。换句话说,可以在另一时间点(例如在稍后的扫描中)对先前被非脉冲处理的区域进行脉冲处理。
在各实施例中,提供了一种借助于粒子束处理基板表面的装置。该装置包括粒子束源,其配置为用粒子束处理基板的表面。该装置还包括用于控制粒子束的源控制器。源控制器配置为用粒子束照射基板的表面,其中在基板的表面的第一区域中,用粒子束处理基板的表面,粒子束非脉冲冲击在基板的表面上;并且其中,在基板的表面的第二区域中,用粒子束处理基板的表面,粒子束脉冲冲击在基板的表面上。
在各实施例中,提供了一种借助于粒子束处理基板的表面的装置。该装置包括粒子束源,粒子束源配置为用粒子束处理基板的表面。该装置还包括用于控制粒子束的源控制器。源控制器配置为用粒子束照射基板的表面,其中在基板的表面的第一区域中,用粒子束处理基板的表面,粒子束以第一占空比脉冲冲击在基板的表面上;并且其中,在基板的表面的第二区域中,用粒子束处理基板的表面,粒子束以第二占空比脉冲冲击在基板的表面上,第二占空比不同于第一占空比。
在一个实施例中,该装置包括处理室。束源的至少一部分和基板(例如在照射期间)布置在处理室中。
附图说明
在附图中示出本发明的实施例,并且下文中将更详细地解释所述实施例。
附图中示出:
图1是根据各实施例的装置;
图2是根据各实施例的装置的源控制的框图;
图3是根据各实施例的方法的示意图;以及
图4是用于处理基板的表面的示图。
具体实施方式
在以下详细描述中参照附图,附图形成了本发明说明书的一部分,并且其中,通过图示的方式示出了可以实践本发明的具体实施例。在这方面,方向术语,诸如“顶部”、“底部”、“前部”、“后部”、“前”、“后”等用于指示所描述的附图的定向。因为实施例的组件可以定位在许多不同的方向上,所以方向术语是说明性的而绝不是限制性的。应当理解,在不脱离本发明的范围的情况下,可以使用其他实施例并且可以进行结构或逻辑上的改变。应当理解,除非另有特别说明,否则本文描述的各示例性实施例的特征可以彼此组合。因此,以下详细描述不应被视为具有限制意义,并且本发明的范围由所附权利要求限定。
在本说明书的范围内,术语“连接(verbunden)”,“附接(angeschlossen)”和“耦接(gekoppelt)”用于描述直接连接和间接连接,直接附接或间接附接,以及直接耦接或间接耦接。在附图中,相同或相似的元件在适当时设置有相同的附图标记。
图1示意性示出了装置100。这种装置100例如适合于借助于粒子束104处理基板114的表面。
装置100具有粒子束源102,粒子束源102配置为发射粒子束104,粒子束104在区域106(也称为冲击区域)中冲击在基板114的表面的区域上。
粒子束源102配置为用粒子束处理基板表面,例如以去除基板的材料或将材料沉积在表面上。
根据一个实施例,束源102是离子束源,并且粒子束104例如是具有高斯充电电流分布密度的聚焦离子束。在该示例中,离子束用于从基板去除薄层。离子束源可以配置为宽束离子束源。
装置100还包括用于控制粒子束104的源控制器112。根据各实施例,这种源控制器112可以自动地或手动地或利用相应的组合来改变、控制、暂停、停止和/或重新调整粒子束的参数和属性。例如,这可以涉及粒子束源102的不同组件的位置或电操作电流。另外,源控制器112可以涉及粒子束104的直接参数或间接参数,例如束中和装置的特性、粒子束源的组合物和源气体剂量,和/或各组件的温度特性。
另外,源控制器112可以改变粒子束源102的参数并且因此改变粒子束104的参数。例如,可以改变加速电压,其对粒子束中带电粒子的动能有影响。源控制器112还可以包括并且控制或调节向粒子束源102进行的气体供应(未示出)或等离子体激励(未示出),使得可以调节粒子束中粒子的数量。通常,对于粒子束源,可能需要气体供应以维持粒子束104。通常,对于粒子束源,需要用带电粒子操作的等离子体激发,以便由所供应的气体为带电的或非中性的粒子束104产生必要的载流子(例如,离子)。
源控制器112配置为具有粒子源102,粒子源102用于照射基板114的表面,其中,在基板114的表面的第一区域中,用粒子束104处理基板114的表面,粒子束104非脉冲冲击在基板114的表面上,并且其中,在该基板114的表面的第二区域中,用粒子束104处理基板114的表面,粒子束104脉冲冲击在基板114的表面上。
替代地或附加地,源控制器112被配置用于进行照射,使得在基板114的表面的第一区域中,用粒子束104处理基板114的表面,粒子束104以脉冲的第一占空比冲击在基板114的表面上,并且在基板114的表面的第二区域中,用粒子束104处理基板114的表面,粒子束104以脉冲的第二占空比冲击在基板114的表面上,其中,第二占空比不同于第一占空比。
源控制器112进一步配置为具有粒子源102,粒子源102用于非脉冲照射基板的表面,其中在非脉冲照射时,用粒子束104处理基板的表面,粒子束104非脉冲冲击在基板114的表面上。
在一个实施例中,装置100包括处理室122。束源102的至少一部分和基板114(例如在照射期间)布置在处理室122中。换句话说,装置100具有以截面示出的处理室122,在处理室122内布置有粒子束源102,粒子束源102配置为发射粒子束104。
粒子束102可以(可移动地或固定地)安装在处理室122的壁上,或安装于处理室122的内部(例如,在处理室122的门的底部上,例如在滑架上,粒子束源102固定在该滑架上,并且粒子束源102可以沿着该滑架移动)。处理室122还可包括温度调控器,其控制处理室壁和相邻装置的温度。在各实施例中,因为用粒子束104处理基板114的结果可能与温度相关,所以温度控制器可以极具意义的。在各实施例中,电连接(例如接地)可以是极具意义的,以便在用粒子束进行处理期间抵消基板114的电荷。
处理室122还可以包括束中和装置,借助于束中和装置可以在用粒子束进行处理期间抵消基板114的充电。例如,将基板电连接到参考电位,例如地电位.以防止充电。
处理室122还可以包括合适的真空设备,通过该真空设备可以调节处理室122内的压力,从而可以根据需要在处理室122内产生真空。
粒子束源102的位置可以借助于支架(未示出)并且借助于源控制器112来改变。
保持器可以配置为允许在三个空间方向的一个方向上、其中两个方向上或所有三个方向上的平移运动,和/或围绕三个空间轴中的一个、两个或围绕所有三个空间轴的旋转运动。替代地或附加地,可以相应地移动基板。
粒子束104可以冲击在基板的表面上的冲击区域106上。借助于保持器,冲击区域106可以移位到基板114的表面上的任何位置或任何区域。
根据一个实施例,一种处理基板114的方法可包括:
可以预先测量基板114,例如,可以干涉测定表面特性,例如表面不平整性。表面不平整性的信息可以存储在检测装置122的存储器(例如,处理器,比如可编程处理器和/或硬连线逻辑)中作为基板114的初始状态。
然后,可以将基板114保持在基板支架中,并且可以借助于真空设备将处理室122抽空到合适的处理压力。支架可以定位成使得粒子束104在粒子束源102接通时冲击在护罩(例如遮光物)上。
随后,可以借助于源控制器112接通粒子束源102。分别根据各实施例,可以等待,直到粒子束源102具有稳定的粒子束104,即,例如,粒子束104仅具有微小的强度波动。
借助于源控制器112和支架,可以改变粒子束104的冲击区域106。
分别根据所需应用,可以有利的是:基板114位于粒子束104的焦点平面中。由此,使得冲击区域106的空间范围最小化,并且因此使得所需的对基板114进行处理的空间分辨率最大。替代地,基板114可以布置在焦点平面之外。由此,可以降低热功率密度。
通过测量基板114的表面特性,例如,表面的不平整性,借助于比如干涉测定法以及与之前所确定的基板114的数据进行比较,可以确定在基板114上粒子束104的二维去除率。该二维去除率可以对应于高斯二维去除率。
然后,可将基板114放置在处理腔室122中的基板支架上,并且可以借助于真空设备将处理室122抽真空到合适的工艺压力。如上所述,然后,粒子束源102可以以稳定的粒子束104而投入运行。
紧接着,可以执行对粒子束的二维分布密度函数的确定。这可以导致二维分布密度函数的相应参数被调整,使得生成相关二维分布密度函数,其以足够的精度对束冲击区域(所谓的足点)的二维去除率进行建模。相应的精度取决于对处理过的基板114而言所需的结果。
随后,可以借助于确定装置122进行计算。该计算可以使用相关二维分布密度函数,以确定粒子束104相对于基板114的移动轮廓。替代地,可以使用足点的二维去除率,以创建该移动轮廓并且将其存储在例如源控制器112的存储器中。
移动轮廓可包括粒子束104在基板上的冲击区域106的位置、相应的停留时间、占空比和脉冲形状。替代地,移动轮廓可包括速度数据,在此,该速度描述粒子束104相对于基板114的表面的移动速度。
移动轮廓可以具有一个、两个或更多个扫描通道。在扫描通道中,粒子束源被引导通过表面的每个区域。在这种情况下,粒子束可以脉冲冲击在基板表面上,非脉冲冲击在基板表面上,或者不冲击(例如,其中借助于遮光物将光束阻挡)在基板表面上。
确定装置122可以与源控制器112和/或支架(未示出)电连接,以便可以执行移动轮廓。然后,可以借助于源控制器112和支架,对应于移动轮廓将粒子束104的冲击区域106引导到基板114的表面,其对应于对基板114的表面进行处理。然后,可以从处理室122移除处理过的基板114。
例如,在确定装置122中所实现的方法可以计算移动轮廓,使得基板的表面在加工之后具有期望的图案或具有尽可能平坦的表面。
为了产生局部不同的去除或局部不同的沉积,用机械定位系统移动基板和/或粒子束,和/或(例如以不同的占空比)使粒子束脉冲。
由于机械系统的机械动力学有限,每个表面区段的最小停留时间通常至少为十分之几毫秒。
通过使用脉冲的粒子束,可以使表面区段中时间平均的束强度减小。由此,可以使最小局部停留时间减小。
在各示例性实施例中,移动轮廓是用于借助于粒子束104处理基板114表面的方法的一部分。
该方法包括用粒子束104照射基板104的表面。当照射在基板表面的第一区域中时,用粒子束处理基板表面,粒子束以非脉冲冲击在基板表面上。当照射在基板表面的第二区域中时,用粒子束处理基板表面,粒子束脉冲冲击在基板表面上。
替代地或附加地,当照射在基板表面的第一区域中时,用粒子束处理基板的表面,粒子束以第一占空比脉冲冲击在基板的表面上。在基板的表面的第二区域中,用粒子束处理基板的表面,粒子束以第二占空比脉冲冲击在基板的表面上。第二占空比不同于第一占空比。
例如,在对基板的表面和/或对基板的表面区域进行非脉冲照射之后执行脉冲照射。替代地,在脉冲照射之后执行非脉冲照射基板的表面和/或基板的表面的一部分。
例如,粒子束是中性粒子束、簇光束、簇离子束、离子束或电子束。例如,用于处理基板表面的方法是磁控溅射。
当用粒子束处理表面时,可以从基板的表面移除材料和/或可以将材料沉积在基板的表面上。
第一区域(即,以非脉冲处理过的区域)可以与第二区域不同(即,以脉冲处理过的区域)。可以在稍后的处理(例如另一次扫描)中对非脉冲处理过的区域进行脉冲处理。
装置的所有组件,例如电流测量器、支架或电流探头可以匹配于相应的环境。例如,在真空中对装置进行操作的情况下,可以使导流器、油脂和组件材料适配。
将结合图3更详细地描述根据各实施例的方法。
图2示出了根据各实施例的用于装置的源控制器的框图。源控制器112具有一个或多个端口202,借助于该端口,装置可以与装置外部环境连接或集成在装置外部环境中,例如安全控制器或远程监视器。
源控制器112可以包括处理器204、计算机204或其他数据处理装置204(在下文中称为处理模块计算机PMC),其接收且评估装置的部件和模块的各信号,并且控制或调节装置的部件和模块的各信号。
该PMC204可以是可编程处理器(例如,微处理器或纳米处理器),或者可以是硬连线逻辑或固件,或者例如,应用专用电路(应用专用集成电路,ASIC)或现场可编程门阵列(FPGA)。
轴系统206与PMC204连接,此外,借助于开关电路212,轴系统206与粒子束电路208(束)和加速电路210(也被称为加速器电路210)连接,以控制束源102的粒子束104,并且控制其束轮廓。
粒子束切换电路208和加速电路210均可以具有电源,原则上所述电源在技术方面可以是彼此等价的。
开关电路212可以在束源102和粒子束电路208之间和/或在束源102和加速器电路210之间分别具有可电切换的开关,比如功率晶体管。开关电路212可以配置为使得可以将粒子束电路208和加速器电路210的电势电切换到束源102,或者替代地,可以将接地电势或另外的电势切换到束源102。由此,可以容易地脉冲粒子束,并且可以容易地调节脉冲在表面上的位置及其能量。
图3示出了根据各示例性实施例的方法的示意图。
在不同的实施例中,上述移动轮廓可以是用于借助于粒子束104处理基板的表面302的方法300。
在图3的上部,示出了待借助于粒子束104来处理的基板的表面302的横截面轮廓。在多个通道(扫描)S1、S2、S3中,粒子束被驱动或引导到表面上。同时,可以从基板的表面脉冲310(即,借助于粒子束脉冲304)或非脉冲308(即,在连续波处理306中)地将材料移除,或者保持不对表面进行处理。在不处理表面的情况下,例如,关闭或阻挡粒子束,使得不从表面去除材料。
不处理所具有的占空比为0.0。非脉冲处理所具有的占空比为1.0。脉冲处理所具有的占空比大于0.0且小于1.0。
在横截面轮廓下方,分别示出了表面区段和速度分布334的局部去除率332以及对横截面轮廓的不同扫描S1、S2、S3。可以借助于每个位置的停留时间将去除速率332调节到粒子束的恒定能量密度。例如,可以借助于粒子束的前进速度来调节停留时间。通过前进速度以及因此的停留时间的局部变化,可以实现对能量吸收剂量的调节。从粒子束的速度分布334可以看出/将可以看出前进速度的变化以及因此的停留时间的变化。例如,与将粒子束引导到第二区域338上的速度相比,可以以更慢的速度将粒子束引导到第一区域336上。
如果基板的表面302设置在基准平面320的下方(下文中将更详细地加以描述),则在多次扫描S1、S2、S3中所去除的材料的总和近似地对应于在目标平面330上方的在横截面轮廓中所示出的材料(下文中将更详细地加以描述)。
在具有基本上处于基准平面320下方的表面的区段的情况下,可以以最大速度照射待处理的表面,并且在边缘区域以较低的速度照射待处理的表面(在示意图334中针对各扫描示出)。
借助于粒子束104对在多次扫描S1、S2、S3的每次扫描中所暴露的基板表面进行处理,使得(例如,对于各区段而言,在装置特定的最小去除时间和停留时间的情况下)非脉冲去除区段中材料的最大可能部分318。借助于最小可能的占空比,在一次扫描中去除剩余部分314。在此,可以借助于一个脉冲或借助于多个脉冲来实现占空比,脉冲例如对称地施加到区段中的区段中心。
通过将非脉冲处理308与脉冲处理310进行组合,可以降低或最小化脉冲的数量。因此,与纯脉冲处理相比,可以降低在基板处理中由脉冲的边缘所产生的误差。
例如,在接通和关断粒子束的冲击的情况下,通过连续的粒子束轮廓将由脉冲的边缘和位置分配造成的误差映射到表面上。
与非脉冲表面处理(即,连续波处理)相比,可以避免或降低对表面的不必要处理或不充分。由此,对表面的处理可以更精确,并且表面可以具有更低的粗糙度或更高的均匀性或与给定的表面光洁度一致。
例如,该方法包括:检测基板表面的至少一部分的表面特性。例如,可以相对于给定目标平面330从基板的表面302开始确定多余材料或缺少材料。例如,目标平面330是待实现的基板表面层厚度和/或基板表面的均匀性。
换句话说,该方法可以包括确定基板的表面302上方或下方的目标平面330。可以在基板的表面的至少一个区域中处理基板,直到得到目标平面。
表面302可以分成多个区段。这些区段例如是指定在基板表面上的平坦的二维区域。
该方法还可以包括在基板的至少一个区域中,限定在表面302上方或下方的基准平面320。例如,如果相应区段的表面相对于基准平面320满足预定条件,则可以在各区段中对基板进行脉冲处理。例如,在材料去除方法的情况下,如果相应区段的表面302布置在基准平面320下方,则可以进行脉冲处理。例如,对具有在基准平面上方的表面区段进行非脉冲处理,由此实现更快的材料去除。
基准平面320可以布置在基板的区段的表面302下方和/或上方。
在各实施例中,基准平面320是借助于粗糙处理基板表面(例如,借助于(化学)机械抛光)而获得的平面。
替代地或附加地,基准平面320是仅使用用于改变停留时间的前进速度就能得到的平面。
可以为各区段确定脉冲处理310和非脉冲处理308的次数,以在用粒子束104开始照射之前从表面302得到目标平面。
例如,确定脉冲处理308的次数可以包括:确定脉冲宽度、脉冲幅度、脉冲形状和/或脉冲分布。
例如,该方法包括为表面的每个待处理区域确定占空比。通过调控占空比,可以在指定的误差范围内补偿束源的功率波动和电流密度波动(例如通过热漂移可以发生的情况)。为此,时间平均的源发射电流可以用作测量变量并且可以对占空比进行调节,使得在保持其他方法参数同时,源发射电流和因此的时间平均的离子电流密度保持恒定。
例如,粒子束可以脉冲冲击在基板表面上,使得脉冲关于脉冲处理的区域(即区段)的中心对称地布置。例如,粒子束具有高斯束轮廓。因此,对称的脉冲照射的区段具有(例如相对于区段中心点的点对称处理和/或相对于非对称处理而言的)更高的均匀性。
例如,脉冲处理包括多个脉冲304,其可以布置在边缘处或在区段的边缘和中心之间。
在各实施例中,该方法包括进一步照射312基板的表面。在进一步照射312的情况下,在已经得到目标平面330的基板表面的区域中,例如借助于遮光物或者借助于源控制器112的开关电路阻挡粒子束104。借助于阻挡,可以防止该区域中的表面被粒子束处理。换句话说,在进一步处理的情况下,可以完全关闭束源(例如离子源),即,占空比为0.0。例如,在将离子束从基板的一个位置引导到另一个位置而不进行处理的情况下,不对表面区段进行涂覆或蚀刻。
通过将停留时间和脉冲持续时间相互匹配地同时用作工艺参数,可以使基板或离子束的速度更均匀并且整个过程进行得更加温和,从而得到定位系统的部件的更长寿命。

Claims (20)

1.一种借助于粒子束(104)处理基板(114)的表面(302)的方法(300),所述方法(300)包括用所述粒子束照射所述基板(114)的所述表面(302),
其中,在所述基板(114)的所述表面(302)的第一区域(308)中,用所述粒子束(104)处理所述基板(114)的所述表面(302),所述粒子束非脉冲(306)冲击在所述基板(114)的所述表面(302)上;以及
其中,在所述基板(114)的所述表面(302)的至少一个第二区域(310)中,用所述粒子束(104)处理所述基板(114)的所述表面(302),所述粒子束脉冲(304)冲击在所述基板(114)的所述表面(302)上。
2.一种借助于粒子束(104)处理基板(114)的表面(302)的方法(300),所述方法(300)包括用所述粒子束照射所述基板(114)的所述表面(302),
其中,在所述基板(114)的所述表面(302)的第一区域(308)中,用所述粒子束(104)处理所述基板(114)的所述表面(302),所述粒子束以第一占空比脉冲(304)冲击在所述基板(114)的所述表面(302)上;以及
其中,在所述基板(114)的所述表面(302)的至少一个第二区域(310)中,用所述粒子束(104)以第二占空比脉冲(304)处理所述基板(114)的所述表面(302),其中所述第二占空比不同于所述第一占空比。
3.根据权利要求1或2所述的方法(300),所述方法(300)还包括:
为所述表面的每个待处理区域确定脉冲处理的次数和非脉冲处理的次数。
4.根据权利要求3所述的方法(300),所述方法(300)还包括:
其中,所述确定脉冲处理的次数包括确定脉冲宽度、脉冲幅度、脉冲形状、脉冲位置和/或脉冲分布。
5.根据权利要求1至4中任一项所述的方法(300),
其中,所述粒子束(104)脉冲(304)冲击在所述基板(114)的所述表面(302)上,使得所述脉冲关于所述脉冲(304)处理的区域的中心对称地布置。
6.根据权利要求1至5中任一项所述的方法(300),所述方法(300)还包括:
在所述基板(114)的至少一个区域中,限定在所述表面(302)上方或下方的基准平面(320),
其中,当所述区域的所述表面(302)与所述基准平面(320)具有预定的比例关系时,在所述区域中脉冲(304)处理所述基板(114),并且
在其他情况下,非脉冲处理所述区域或不处理所述区域。
7.根据权利要求2所述的方法(300),所述方法(300)还包括:
为所述表面的每个待处理区域确定所述第一占空比和所述第二占空比。
8.根据权利要求1至7中任一项所述的方法(300),
其中,在所述表面的区域中执行所述非脉冲照射之后,在所述表面的相同区域中执行所述脉冲照射。
9.根据权利要求1至7中任一项所述的方法(300),
其中,在所述表面的区域中执行所述脉冲照射之后,在所述表面的相同区域中执行所述非脉冲照射。
10.根据权利要求1至9中任一项所述的方法(300),
其中所述粒子束(104)是中性粒子束、粒子簇束、离子束或电子束。
11.根据权利要求1至10中任一项所述的方法(300),
其中,当用所述粒子束(104)处理所述表面(302)时,从所述基板(114)的所述表面(302)或所述表面(302)的一部分移除材料。
12.根据权利要求1至11中任一项所述的方法(300),
其中,当用所述粒子束(104)处理所述表面(302)时,在所述基板(114)的所述表面(302)或所述表面(302)的一部分上沉积材料。
13.根据权利要求1至12中任一项所述的方法(300),
其中,所述第一区域与所述第二区域不同。
14.根据权利要求1至13中任一项所述的方法,所述方法包括:检测所述基板的所述表面(302)的至少一部分的表面特性。
15.根据权利要求1至14中任一项所述的方法(300),所述方法(300)包括:
确定所述基板的所述表面(302)上方或下方的目标平面,其中,在所述基板(114)的表面(302)的区域中处理所述基板,直至得到所述目标平面。
16.根据权利要求15所述的方法(300),所述方法(300)包括:
进一步照射所述基板(114)的所述表面(302),其中,在已经得到所述目标平面的所述基板(114)的所述表面(302)的区域(312)中,阻挡所述粒子束(104),使得在所述区域(312)中,所述粒子束(104)不处理所述表面(302)。
17.根据权利要求1至16中任一项所述的方法(300),
所述方法包括至少一种另外的进一步照射,其中,在所述另外的进一步照射时,用所述粒子束(104)处理所述非脉冲照射的所述基板的所述表面的所述第一区域,所述粒子束(104)脉冲(306)冲击在所述基板(114)的所述表面(302)上。
18.一种借助于粒子束(104)处理基板(114)的表面(302)的装置,其中所述装置包括:
粒子束源,配置为用粒子束(104)处理所述基板(114)的所述表面(302);以及
源控制器(112),配置为控制所述粒子束(104),其中,所述源控制器(112)配置为用所述粒子束照射所述基板(114)的所述表面(302),
其中,在所述基板(114)的所述表面(302)的第一区域(308)中,用所述粒子束(104)处理所述基板(114)的所述表面(302),所述粒子束(104)非脉冲(306)冲击在所述基板(114)的所述表面(302)上;以及
其中,在所述基板(114)的所述表面(302)的第二区域(310)中,用所述粒子束(104)处理所述基板(114)的所述表面(302),所述粒子束(104)脉冲(304)冲击在所述基板(114)的所述表面(302)上。
19.一种借助于粒子束(104)处理基板(114)的表面(302)的装置,其中所述装置包括:
粒子束源,配置为用粒子束(104)处理所述基板(114)的所述表面(302);以及
源控制器(112),配置为控制所述粒子束(104),其中,所述源控制器(112)配置为用所述粒子束照射所述基板(114)的所述表面(302),
其中,在所述基板(114)的所述表面(302)的第一区域(308)中,用所述粒子束(104)处理所述基板(114)的所述表面(302),所述粒子束(104)以第一占空比脉冲(304)冲击在所述基板(114)的所述表面(302)上:以及
其中,在所述基板(114)的所述表面(302)的第二区域(310)中,用所述粒子束(104)处理所述基板(114)的所述表面(302),所述粒子束(104)以第二占空比脉冲(304)冲击在所述基板(114)的所述表面(302)上,其中所述第二占空比不同于所述第一占空比。
20.根据权利要求18或19所述的装置,还包括处理室(122),
其中,所述束源(102)的至少一部分和所述基板(114)布置在所述处理室(122)中。
CN201780076549.0A 2016-10-18 2017-10-17 借助于粒子束处理基板表面的方法和装置 Pending CN110073462A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
DE102016119791.2A DE102016119791A1 (de) 2016-10-18 2016-10-18 Verfahren und Vorrichtung zum Bearbeiten einer Oberfläche eines Substrates mittels eines Teilchenstrahls
DE102016119791.2 2016-10-18
PCT/EP2017/076448 WO2018073231A1 (de) 2016-10-18 2017-10-17 Verfahren und vorrichtung zum bearbeiten einer oberfläche eines substrates mittels eines teilchenstrahls

Publications (1)

Publication Number Publication Date
CN110073462A true CN110073462A (zh) 2019-07-30

Family

ID=60245050

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201780076549.0A Pending CN110073462A (zh) 2016-10-18 2017-10-17 借助于粒子束处理基板表面的方法和装置

Country Status (6)

Country Link
US (1) US20200043699A1 (zh)
EP (1) EP3529824A1 (zh)
JP (1) JP2019533293A (zh)
CN (1) CN110073462A (zh)
DE (1) DE102016119791A1 (zh)
WO (1) WO2018073231A1 (zh)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006344931A (ja) * 2005-04-15 2006-12-21 Leibniz-Inst Fuer Oberflaechenmodifizierung Ev パルスイオンビームによる表面改質のための局所エッチングまたは堆積の制御
CN102800562A (zh) * 2011-05-26 2012-11-28 南亚科技股份有限公司 脉冲式等离子体蚀刻方法及装置
CN103531429A (zh) * 2013-10-31 2014-01-22 中微半导体设备(上海)有限公司 等离子体刻蚀装置及其刻蚀方法
CN105789007A (zh) * 2014-12-22 2016-07-20 卡尔蔡司显微镜有限责任公司 带电粒子束系统和方法
CN105940137A (zh) * 2013-12-04 2016-09-14 瑞士艾发科技 制造镀有金属的板状基底的溅射源装置、溅射系统以及方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4344019A (en) * 1980-11-10 1982-08-10 The United States Of America As Represented By The United States Department Of Energy Penning discharge ion source with self-cleaning aperture
JPS58106750A (ja) * 1981-12-18 1983-06-25 Toshiba Corp フオ−カスイオンビ−ム加工方法
US4740267A (en) * 1987-02-20 1988-04-26 Hughes Aircraft Company Energy intensive surface reactions using a cluster beam
US5389195A (en) * 1991-03-07 1995-02-14 Minnesota Mining And Manufacturing Company Surface modification by accelerated plasma or ions
US6137110A (en) * 1998-08-17 2000-10-24 The United States Of America As Represented By The United States Department Of Energy Focused ion beam source method and apparatus
DE10010706C2 (de) * 2000-03-04 2002-07-25 Schwerionenforsch Gmbh Hohlkathoden-Sputter-Ionenquelle zur Erzeugung von Ionenstrahlen hoher Intensität
DE10351059B4 (de) * 2003-10-31 2007-03-01 Roth & Rau Ag Verfahren und Vorrichtung zur Ionenstrahlbearbeitung von Oberflächen
JP3816484B2 (ja) * 2003-12-15 2006-08-30 日本航空電子工業株式会社 ドライエッチング方法
WO2010135444A2 (en) * 2009-05-20 2010-11-25 Carl Zeiss Nts, Llc Simultaneous sample modification and monitoring
US8354655B2 (en) * 2011-05-03 2013-01-15 Varian Semiconductor Equipment Associates, Inc. Method and system for controlling critical dimension and roughness in resist features
KR101156184B1 (ko) * 2011-10-21 2012-07-03 한국생산기술연구원 전자빔 및 이온빔을 이용한 피니싱 장치 및 방법
DE102012022168A1 (de) * 2012-11-12 2014-05-28 Carl Zeiss Microscopy Gmbh Verfahren zum bearbeiten eines materialstücks

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006344931A (ja) * 2005-04-15 2006-12-21 Leibniz-Inst Fuer Oberflaechenmodifizierung Ev パルスイオンビームによる表面改質のための局所エッチングまたは堆積の制御
CN102800562A (zh) * 2011-05-26 2012-11-28 南亚科技股份有限公司 脉冲式等离子体蚀刻方法及装置
CN103531429A (zh) * 2013-10-31 2014-01-22 中微半导体设备(上海)有限公司 等离子体刻蚀装置及其刻蚀方法
CN105940137A (zh) * 2013-12-04 2016-09-14 瑞士艾发科技 制造镀有金属的板状基底的溅射源装置、溅射系统以及方法
CN105789007A (zh) * 2014-12-22 2016-07-20 卡尔蔡司显微镜有限责任公司 带电粒子束系统和方法

Also Published As

Publication number Publication date
DE102016119791A1 (de) 2018-04-19
EP3529824A1 (de) 2019-08-28
US20200043699A1 (en) 2020-02-06
JP2019533293A (ja) 2019-11-14
WO2018073231A1 (de) 2018-04-26

Similar Documents

Publication Publication Date Title
US10410828B2 (en) Charged particle beam system and methods
TWI667719B (zh) 蝕刻基板的方法、蝕刻裝置結構的方法以及處理設備
CN112385014B (zh) 使用角度化离子来选择性地沉积层的方法、系统及装置
US9218939B2 (en) Focused ion beam system, sample processing method using the same, and sample processing program using focused ion beam
WO2009045722A1 (en) Two-diemensional uniformity correction for ion beam assisted etching
KR102553606B1 (ko) 주입 프로세스를 제어하기 위한 장치 및 이온 주입기
JP4786541B2 (ja) 表面をイオンビーム加工するための方法及び装置
US10861674B2 (en) Compensated location specific processing apparatus and method
CN110073462A (zh) 借助于粒子束处理基板表面的方法和装置
JP2008524435A (ja) マグネトロンスパッタリング装置
TWI719564B (zh) 離子研磨裝置
US20230238264A1 (en) Multi-Zone Platen Temperature Control
RU2550444C2 (ru) Установка и способ нанесения покрытия на подложку
Grigoriev et al. Deposition of wear-resistant coatings using a combined source of metal atoms and fast gas molecules
KR20170036479A (ko) 이온 빔 에칭 장치
JP6574180B2 (ja) Gcibシステムのための基板エッジプロファイル補正のためのマルチステップ場所特異的プロセス
KR102375180B1 (ko) 이온 빔 장치
TWI592975B (zh) 使用帶電粒子束處理基板的方法
US20170077001A1 (en) Method for high throughput using beam scan size and beam position in beam processing system
TW202335013A (zh) 離子提取組合件、離子源及處理系統
US20190244784A1 (en) Method of processing a surface by means of a particle beam
JP2023001063A (ja) 電荷キャリア発生源

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20190730

WD01 Invention patent application deemed withdrawn after publication