CN109994472B - Semiconductor device and method for fabricating the same - Google Patents

Semiconductor device and method for fabricating the same Download PDF

Info

Publication number
CN109994472B
CN109994472B CN201810004058.1A CN201810004058A CN109994472B CN 109994472 B CN109994472 B CN 109994472B CN 201810004058 A CN201810004058 A CN 201810004058A CN 109994472 B CN109994472 B CN 109994472B
Authority
CN
China
Prior art keywords
metal layer
layer
bottom barrier
barrier metal
active region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201810004058.1A
Other languages
Chinese (zh)
Other versions
CN109994472A (en
Inventor
许智凯
傅思逸
邱淳雅
陈金宏
吴骐廷
林毓翔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
United Microelectronics Corp
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to CN201810004058.1A priority Critical patent/CN109994472B/en
Priority to US15/866,489 priority patent/US10249488B1/en
Priority to US16/273,003 priority patent/US10755919B2/en
Publication of CN109994472A publication Critical patent/CN109994472A/en
Application granted granted Critical
Publication of CN109994472B publication Critical patent/CN109994472B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82345MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • H01L29/4941Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement with a barrier layer between the silicon and the metal or metal silicide upper layer, e.g. Silicide/TiN/Polysilicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers

Abstract

The invention discloses a semiconductor element and a manufacturing method thereof. The semiconductor device has three transistors of the same conductor type but different threshold voltages, wherein the first transistor comprises a high dielectric constant layer, a first bottom barrier metal layer, a second bottom barrier metal layer, a work function metal layer, and a low resistance metal. The second transistor comprises the high-k dielectric layer, the first bottom barrier metal layer, the second bottom barrier metal layer, and the low-resistance metal. The third transistor includes the high-k dielectric layer, the first bottom barrier metal layer and the low-resistance metal layer.

Description

Semiconductor device and method for fabricating the same
Technical Field
The present invention relates to a semiconductor device and a method for manufacturing the same, and more particularly, to a semiconductor device having the same conductor type but different threshold voltages and a method for manufacturing the same.
Background
The semiconductor industry today is widely using polysilicon as an interstitial material in the gate fabrication of metal oxide semiconductor transistors (MOS). However, the conventional polysilicon gate has been faced with the problem of insufficient performance due to the effects of increasing the equivalent thickness of the gate dielectric layer, decreasing the gate capacitance, and deteriorating the driving force of the device due to boron penetration and gate depletion. In order to replace the conventional polysilicon gate, the industry has developed a design of high-k layer with work function metal as the control gate.
In a Complementary Metal Oxide Semiconductor (CMOS) device, different types of work function metal layers are used for an NMOS device and a PMOS device, respectively. It is known in the art that the dual work function metal fabrication process is more complex in terms of control and compatibility of the fabrication process compared to conventional semiconductor fabrication processes. The need to precisely control the thickness and composition of materials in dual work function gates is becoming more critical as the gate Critical Dimension (CD) of modern transistors shrinks below 20 nm. Component parts of metal gate transistors, including high dielectric constantsSeveral layers, a bottom barrier metal layer, a p-type work function metal, an n-type work function metal, a top barrier metal layer, and a gate fill metal, etc., become difficult to fill into the gate trench at such a fine scale. Such trench space deficiency limits the thickness of the work function metal layer that can be provided in the gate and its tuning threshold voltage (V)th) The ability of the cell to perform.
In addition to the lack of space for disposing the gate device, in current electronic product applications, transistors with multiple threshold voltages are becoming more and more necessary to optimize the delay and power consumption of the device during operation. The threshold voltage of a Metal Oxide Semiconductor Field Effect Transistor (MOSFET) is the voltage that can form an inversion layer at the interface between the gate dielectric layer of the transistor and the substrate. Low threshold voltage devices are used in switching speed-critical logic circuit applications, and high threshold voltage devices are connected to power lines or virtual power lines that are open-circuited in an active mode and open-circuited in a sleep mode, such that the high threshold voltage devices are suitable for use as sleep transistors to reduce the static power consumption of the devices. The material used for the metal layer in the NMOS or PMOS gate mostly affects its work function, and ultimately affects the performance of the whole product. Therefore, semiconductor manufacturers are looking for better manufacturing methods to provide MOS devices with good work function performance and multiple threshold voltage characteristics while keeping up with the current advance of the semiconductor technology blueprint.
Disclosure of Invention
The following paragraphs will provide a summary of the invention to give the reader a basic understanding of the various aspects of the invention. This summary is not an extensive overview and is intended to neither identify key or critical elements nor delineate the scope of the invention, but is merely intended to be a prelude to the more detailed description that is presented later to present some concepts of the invention in a simplified form.
In order to solve the aforementioned problem of insufficient space for filling metal gate features and to meet the requirements of transistor devices with multiple threshold voltage characteristics, the present invention proposes an innovative transistor design with the same conductor type but different layer composition structures. The transistors with multiple threshold voltages are fabricated in the same semiconductor fabrication process, but each of the transistors has additional fabrication process steps to form different layer structures for adjusting work functions, thereby achieving the effect of tuning out multiple different threshold voltages.
In a structural aspect, the present invention provides a semiconductor device, which comprises a substrate, a first transistor disposed on the substrate, wherein the first transistor comprises a high-k layer, a first bottom barrier metal layer disposed on the high-k layer, a second bottom barrier metal layer disposed on the first bottom barrier metal layer, a work function metal layer disposed on the second bottom barrier metal layer, and a low-resistance metal layer disposed on the work function metal layer. The device further includes a second transistor on the substrate, wherein the second transistor includes the high-k dielectric layer, the first bottom barrier metal layer on the high-k dielectric layer, the second bottom barrier metal layer on the first bottom barrier metal layer, and the low-resistance metal layer on the second bottom barrier metal layer. The device further includes a third transistor located on the substrate, wherein the third transistor includes the high dielectric constant layer, the first bottom barrier metal layer located on the high dielectric constant layer, and the low resistance metal layer located on the first bottom barrier metal layer. The first transistor, the second transistor, and the third transistor have the same conductor type but different threshold voltages.
In a method aspect, the present invention provides a method for manufacturing a semiconductor device, comprising the steps of: providing a substrate, wherein the substrate is provided with a first active region, a second active region and a third active region, a virtual grid electrode is respectively formed on the three active regions, the virtual grid electrodes are removed to form a grid electrode groove on the three active regions, a high dielectric constant layer, a first bottom barrier metal layer, a second bottom barrier metal layer and a first work function metal layer are sequentially formed in the grid electrode groove, the first work function metal layer on the second active region and the third active region is removed, the second bottom barrier metal layer on the third active region is removed, and each groove is filled with low-resistance metal.
These and other objects of the present invention will become more readily apparent to those skilled in the art after reviewing the following detailed description of the preferred embodiments, which are illustrated in the various figures and drawings.
Drawings
The accompanying drawings are included to provide a further understanding of embodiments of the invention, and are incorporated in and constitute a part of this specification. The drawings illustrate some embodiments of the invention and together with the description serve to explain its principles. In these figures:
fig. 1 to 7 are schematic cross-sectional views illustrating exemplary processes for manufacturing semiconductor devices having the same conductivity type but different threshold voltages according to preferred embodiments of the present invention.
It should be noted that all the figures in this specification are schematic in nature, and that for the sake of clarity and convenience, various features may be shown exaggerated or reduced in size or in proportion, where generally the same reference signs are used to indicate corresponding or similar features in modified or different embodiments.
Description of the main elements
100 substrate
102 shallow trench isolation structure
103 contact etch stop layer
104 interlevel dielectric layer
106 high dielectric constant layer
108 first bottom barrier metal layer
110 second bottom barrier metal layer
112 p type work function metal layer
114 mask
116 n type workfunction metal layer
118 low resistance metal layer
200 first active (active) region
200a groove
202 virtual gate
204 interface layer
206 first sacrificial gate
208 first cap layer
210 first spacer wall
212 first lightly doped drain
214 first source/drain
216 transistor
300 second active region
300a groove
302 dummy gate
304 interface layer
306 second sacrificial gate
308 second cap layer
310 second partition wall
312 second lightly doped drain
314 second source/drain
316 transistor
400 third active region
400a trench
402 dummy gate
404 interface layer
406 third sacrificial gate
408 third cap layer
410 third partition wall
412 third lightly doped drain
414 third source/drain
416 transistor
Detailed Description
In the following detailed description of the present invention, reference is made to the accompanying drawings that form a part hereof, and in which is shown by way of illustration specific embodiments in which the invention may be practiced. Such embodiments will be described in sufficient detail to enable those skilled in the art to practice them. The thickness of some of the elements in the figures may be exaggerated for clarity. It is to be understood that other embodiments may be utilized and structural, logical, and electrical changes may be made without departing from the described embodiments. The following detailed description is, therefore, not to be taken in a limiting sense, and the embodiments included therein are defined by the appended claims.
Before explaining the preferred embodiment, certain terms are used throughout the specification to describe the same. For example, as used herein, the term "etching" is generally used to describe a process for patterning a material such that at least a portion of the material remains after the process is completed. It is understood that the process of etching silicon material involves the steps of patterning a photoresist layer on the silicon material and then removing the areas of the silicon not protected by the photoresist layer. Thus, the silicon regions protected by the photoresist layer remain after the etching process is completed. However, in other examples, the etching may refer to a process that does not use a photoresist layer, but still leaves at least a portion of the target material layer after the etching process is completed. The above description is intended to distinguish between the terms "etching" and "removing". When etching a material, at least a portion of the material remains after the fabrication process is completed. In contrast, when a material is removed, substantially all of the material is removed during the fabrication process. However, in some embodiments, the term "removing" may also be interpreted broadly, including etching connotations.
The description herein may refer to various/multiple regions on a substrate for fabricating a field effect transistor device, it being understood that such regions may be present anywhere on the substrate. Furthermore, the regions are not independent of each other, meaning that in some embodiments one or more of the regions may overlap. Although only up to three different regions are illustrated, it should be understood that any number of regions may be present on the substrate, and that it is possible to define a region as having a particular device type or material. These regions are generally provided to facilitate illustration of regions on the substrate having similar device types and are not intended to limit the spirit and scope of the described embodiments.
As used herein, the terms "forming," "depositing," or "disposing" are used to describe the act of covering a substrate with a layer of material. Such terminology is intended to describe any possible technique for forming a layer structure including, but not limited to, thermal growth, sputtering, evaporation, chemical vapor deposition, epitaxial growth, electroplating, and the like. The act of depositing may be performed in any known suitable manner, depending on the various embodiments. For example, the depositing act may include any fabrication process that grows, coats, or transfers material onto a substrate. Some known such techniques include Physical Vapor Deposition (PVD), Chemical Vapor Deposition (CVD), electrochemical deposition (ECD), Molecular Beam Epitaxy (MBE), Atomic Layer Deposition (ALD), and Plasma Enhanced Chemical Vapor Deposition (PECVD), among others.
The term "substrate" as used throughout this specification is generally considered to be a silicon substrate. However, any other suitable substrate may be widely used for the base, such as germanium (Ge), gallium arsenide (GaAs), indium phosphide (InP), and the like. In other embodiments, the substrate may also be non-conductive, such as a glass substrate or a sapphire substrate.
The name fin-field-effect transistor (FinFET) comes from the fact that the entirety of the FinFET used therein looks like a group of fins, and its main characteristic is that the channel for conduction is surrounded by a thin silicon fin structure, hence the name. The thickness of the fin structure determines the effective channel length of its element. Finfet structures typically have a vertical fin structure between source and drain regions on a substrate. These structures that protrude perpendicularly from the substrate are referred to as fins. This type of gate structure can improve control over channel conduction and reduce the degree of leakage current for overcoming short channel effects.
Please refer to fig. 1 to 7. Fig. 1-7 are schematic cross-sectional views sequentially illustrating exemplary fabrication processes for fabricating semiconductor devices (e.g., finfet devices) having the same conductivity type but different threshold voltages in accordance with a preferred embodiment of the present invention. It is noted that even though the following preferred embodiment pertains to finfet fabrication, it may also be used in planar mosfet fabrication, which is also included in the scope of the present invention.
As shown in fig. 1, a substrate 100, such as a silicon substrate, a silicon-containing substrate, or a silicon-on-insulator (SOI) substrate, is provided. For FinFET fabrication, the substrate 100 may be a fin (strip) structure, which is preferably formed by a conventional Sidewall Image Transfer (SIT) fabrication process. A plurality of Shallow Trench Isolation (STI) structures 102 made of silicon oxide are disposed on the substrate 100. Depending on the regions included in the shallow trench isolation structures 102, the substrate 100 defines a first active region 200, a second active region 300, and a third active region 400 isolated from each other by the shallow trench isolation structures 102, on which semiconductor devices having the same conductivity type but different threshold voltages, such as p-type field effect transistors (hereinafter referred to as pfets) having a standard threshold voltage (SVT), a low threshold voltage (LVT), and an ultra-low threshold voltage (uLVT), respectively, are to be formed. Because in the current semiconductor fabrication, the pFET requires a larger gate trench space for the thickness adjustment of the work function metal layer filled therein to achieve the effect of adjusting the threshold voltages of the n-type field effect transistor (hereinafter referred to as nFET) and the pFET, the pFET is more prone to have insufficient gate trench space compared to the nFET. Accordingly, the transistors described in this embodiment are based on pFETs to show the advantages and appeal of the present invention.
In conjunction with Replacement Metal Gate (RMG) fabrication processes, a dummy transistor 202,302,402 is formed over each defined active region. It is noted that the three active regions defined in the preferred embodiment all have the same conductivity type, such as PMOS regions, and are intended to have gate structures with different threshold voltages fabricated thereon in subsequent fabrication processes. As such, it is expected that structures such as Bottom Barrier Metal (BBM) and/or Work Function Metal (WFM) layers may be formed in different thicknesses or different numbers in these regions during the process of converting the dummy gate into a metal gate. Optical Proximity Correction (OPC) may be performed to adjust or predefine the size or width of the gate trench, so that the gate trench for disposing the gate may be wider than a conventional gate trench for disposing a thicker and/or more bottom barrier metal layer or work function metal layer.
In the embodiment shown in fig. 1, the first dummy transistor 202 includes a first interface layer 204, a first sacrificial gate 206, a first cap layer 208, first spacers 210, first Lightly Doped Drains (LDDs) 212, and first source/drains 214. In a preferred embodiment of the present invention, the first interface layer 204 may be a silicon dioxide layer. An etch stop layer (not shown), such as a titanium nitride layer, may optionally be disposed between the first interface layer 204 and the first sacrificial gate 206. The first sacrificial gate 206 is a polysilicon gate. In another embodiment, the first sacrificial gate 206 is a multi-layer gate structure, such as comprising a polysilicon layer, an amorphous silicon layer, or a germanium layer. The first cap layer 208 may be a silicon nitride layer. The first barrier ribs 210 may have a multi-layered structure, for example, including High Temperature Oxide (HTO), silicon nitride (SiN), silicon oxynitride (SiON), silicon carbide nitride (SiCN), and the like. The first lightly doped drain 212 and the first source/drain 214 may be formed by a suitable impurity doping process. In some embodiments, the first interface layer 204 and the first etch stop layer may be omitted.
Similarly, the second dummy transistor 302 may include a second interface layer 304, a second sacrificial gate 306, a second cap layer 308, second spacers 310, a second lightly doped drain 312, and second source/drain 214. The elements of the second transistor 302 in this embodiment are similar to those of the first transistor 202, and thus will not be described again. The third dummy transistor 402 may include a third interface layer 404, a third sacrificial gate 406, a third cap layer 408, third spacers 410, third lightly doped drain 412, and third source/drain 414. The elements of the third transistor 402 in this embodiment are similar to those of the first transistor 202 and the second transistor 302, and thus, the description thereof will not be repeated. It is noted that some of these transistors may differ because the first active region 200, the second active region 300, and the third active region 400 are defined to form semiconductor devices having different threshold voltages thereon. For example, the first source/drain 214, the second source/drain 314, and the third source/drain 414 may have dopants of the same conductivity type, but may have different implantation energies and doping doses. In addition, the first dummy transistor 202, the second dummy transistor 302, and the third dummy transistor 402 may also include other semiconductor structures not specifically shown in fig. 1, such as a silicide layer, an epitaxial layer formed by a Selective Epitaxial Growth (SEG) process, such as silicon germanium (SiGe), silicon carbide (SiC), silicon phosphide (SiP), or other passivation layer.
After the first dummy transistor 202, the second dummy transistor 302, and the third dummy transistor 402 are formed, a Contact Etch Stop Layer (CESL) 103 and an inter-layer dielectric (ILD) 104 are formed on the substrate 300 to cover the first dummy transistor 202, the second dummy transistor 302, and the third dummy transistor 402. In one embodiment, the contact etch stop layer 103 may create different stresses in the first active region 200, the second active region 300, and the third active region 400 to achieve a selective strain effect on the first dummy transistor 202, the second dummy transistor 302, and the third dummy transistor 402, respectively.
Please refer to fig. 2. A planarization process, such as a chemical mechanical polishing process, an etch back process, or a combination thereof, is performed to remove a portion of the ild layer 104, the contact etch stop layer 103, the first spacer 210, the second spacer 310, and the third spacer 410, and completely remove the first cap layer 208, the second cap layer 308, the third cap layer 408, etc., until the first sacrificial gate 206, the second sacrificial gate 306, and the third sacrificial gate 406 made of polysilicon are exposed.
Please refer to fig. 3. After the first sacrificial gate 206, the second sacrificial gate 306, and the third sacrificial gate 406 are exposed, a replacement metal gate fabrication process is performed to replace the dummy gate with a metal gate. For example, a selective dry etching process or a wet etching process using ammonia (NH) may be performed first4OH) or tetramethylammonium hydroxide (TMAH) as an etchant to remove the first sacrificial gate 206, the second sacrificial gate 306, and the third sacrificial gate 406 until reaching the first sacrificial gateThe interface layer 204, the second interface layer 304 and the third interface layer 404 are exposed, so that gate trenches 200a,300a and 400a are formed on the first active region 200, the second active region 300 and the third active region 400, respectively, and are used as filling spaces for metal gates. It is also possible that the etching step that removes the sacrificial gate in some embodiments is directly removed along with the interface layer 204,304,404. It is noted that since the dummy gates on the substrate may have different sizes, the gate trenches 200a,300a,400a formed after removing the dummy gates may also have different sizes.
Refer back to fig. 3. After the gate trench is formed, a conformal high-k layer 106 is formed on the surface of the substrate and the gate trench. The high-k layer 106 has a dielectric constant greater than 4 and may comprise a rare earth oxide or a lanthanide oxide, such as HfO2,HfSiO4,HfSiON,Al2O3,La2O3,LaAlO,Ta2O5,ZrO2,ZrSiO4,HfZrO,Yb2O3,YbSiO,ZrAlO,HfAlO,AlN,TiO2,ZrON,HfON,ZrSiON,HfSiON,SrBi2Ta2O9(SBT),PbZrxTi1-xO3(PZT) or BaxSr1-xTiO3(BST), but is not limited thereto.
Still referring to fig. 3. After the formation of the high-k layer 106, a conformal first bottom barrier metal layer 108 and a second bottom barrier metal layer 110 are sequentially formed on the surface of the high-k layer 106 in each active region. The bottom barrier metal layers 108 and 110 may act as a barrier to protect the adjacent metals from degradation due to cross thermal diffusion or electromigration. The material of the two bottom barrier metal layers may comprise Ti, TiN, Ta, TaN or WN. In the preferred embodiment where the transistor is intended to be p-type, the material of the first bottom barrier metal layer 108 is tin and the material of the second bottom barrier metal layer 110 is tan. The first bottom barrier metal layer 108 and the second bottom barrier metal layer 110 may have different thicknesses.
Please refer now to fig. 4. After forming the high-k dielectric layer 106, the first bottom barrier metal layer 108 and the second bottom barrier metal layer 110, the method is used to form a first metal layerA work function metal layer (hereinafter, referred to as a p-type work function metal layer) 112 for adjusting the threshold voltage of the pFET is conformally formed on the surface of the second bottom barrier metal layer 110. The thickness of the p-type workfunction metal layer 112 may be between about
Figure GDA0003276890050000091
In the meantime. For pFET devices, the work function of the work function metal layer is required to be between 4.8eV and 5.2eV, and suitable materials in this interval may include, but are not limited to TiN, Ru, Ir, Pt, WN, Mo2N, TaN, TaC.
For semiconductor transistors with today's gate feature sizes scaled below 20 nm, the p-type workfunction metal layer 112, together with its high-k layer 106 and bottom barrier metal layers 108,110, etc., occupy a large portion of the otherwise narrow gate trench, making it more difficult for other gate features in subsequent fabrication processes to fill the trench. In order to make more space for the gate features, a pull-down operation is performed on the p-type work function metal layer 112 during the fabrication process to make space by removing a portion of the p-type work function metal layer above a predetermined height. The pull-down process may include the step of forming a mask 114 over the p-type workfunction metal layer 112 of the gate trench. The surface of the mask 114 is controlled to a predetermined height level. After the mask 114 is filled, an etching process is performed to remove the p-type workfunction metal layer 112. In this etching process, the portion of the p-type work function metal layer 112 above the predetermined height of the mask 114 is removed, so that only the ㄩ -shaped portion of the p-type work function metal layer 112 remains in the gate trench. The pull-down of the p-type workfunction metal layer 112 may be achieved by a selective directional etching process, such as a reactive-ion etching (RIE) process, tuned to react to the material of the p-type workfunction metal layer 112. As a result of the selective etching process, only the undesired portion of the p-type workfunction metal layer 112 is removed during the process, and the underlying bottom barrier metal layer 110 remains substantially unchanged. The removal of the p-type work function metal layer 112 at a predetermined height can increase the opening size of the trench, so that other gate features in the subsequent fabrication process, such as n-type work function metal and low-resistance metal, can be more easily filled into the trench.
Please refer to fig. 5. After the ㄩ -shaped p-type workfunction metal layer 112 is formed, the mask 114 is removed to expose the surface of the p-type workfunction metal layer 112. A conformal n-type workfunction metal layer 116 for adjusting nFET threshold voltage is then formed on the surface of the ㄩ -shaped p-type workfunction metal layer 112 and the second bottom barrier metal layer 110. The opening of the gate trench is enlarged by removing a portion of the p-type work function metal layer 112 above, allowing the n-type work function metal layer 116 to be more easily formed in the gate trench. Note that the present invention is specifically directed to addressing the problem of insufficient fill space for pFET gate features and to highlighting pFET characteristics with different threshold voltages, and therefore, for the sake of brevity of the present disclosure, nFET elements will not be shown in the figures. The n-type workfunction metal layer 116 formed at this step is only intended to emphasize that the fabrication process of the present invention can fabricate both pFET and nFET devices simultaneously. In some embodiments, n-type workfunction metal layer 116 may have a thickness of between about
Figure GDA0003276890050000101
In the meantime. For nFET devices, the work function of the work function metal layer is required to be between 3.9eV and 4.3eV, and suitable materials in this interval may include, but are not limited to TiAl, ZrAl, WAl, TaAl, HfAl or TiAl.
Refer now to FIG. 6. After the ㄩ -shaped p-type workfunction metal layer 112 and the n-type workfunction metal layer 116 are formed, each of the predetermined active regions 200,300,400 is subjected to a respective different step to alter the gate members that are predetermined to be disposed in those regions, thereby imparting different threshold voltage characteristics thereto. In a preferred embodiment, the active regions 200,300,400 are defined as SVT, LVT and uLVT regions, respectively. First, the n-type work function metal layer 116 is removed from over the active regions 200,300,400, since only the nFET region (not shown) needs to have the n-type work function metal layer 116. This step may include applying a photoresist as an etch mask over all nFET active areas and then performing a selective etch process to remove the n-type workfunction metal layer 116 over the pFET active areas. After the n-type workfunction metal layer 116 is removed, the ㄩ -shaped p-type workfunction metal layer 112 is exposed in the active regions 200,300, 400.
In the preferred embodiment of the present invention, since the active region is defined as the pFET region with a standard threshold voltage, the ㄩ -shaped p-type workfunction metal layer 112 is required to adjust the voltage to the standard voltage, and the active regions 300 and 400 are defined as the pFET regions with low and ultra-low threshold voltages, which require a little more trench space to adjust the voltage to the low voltage. To achieve this, the ㄩ -shaped p-type workfunction metal layer 112 in active regions 300 and 400 is removed. The process steps include coating a photoresist as an etch mask on the active region 200, followed by a selective etch process to remove the p-type workfunction metal layer 112 in the active regions 300 and 400. After the p-type workfunction metal layer 112 is removed, the second (top) bottom barrier metal layer 110 in active regions 300 and 400 is fully exposed, while the ㄩ -shaped p-type workfunction metal layer 112 in active region 200 remains.
Although the p-type workfunction metal layer 112 in the active regions 300 and 400 has been removed, the active region 400 still requires more trench space to tune the voltage to an ultra-low threshold voltage compared to the active region 300. In order to meet the above requirements, other fabrication processes are performed to remove the second (upper) bottom barrier gold layer 110 in the gate trench 400a in the active region 400. The process steps may include coating a photoresist layer on the active regions 200 and 300 as an etching mask, and then performing a selective etching process to remove the second bottom barrier metal layer 110 in the gate trench 400a on the active region 400. The second bottom barrier metal layer 110 outside the gate trench 400a in the active region 400 may not be removed. The emphasis is on making more space in the gate trench to adjust the voltage.
In the case of the LVT active regions 300 and uLVT active regions, it is noted that the second bottom barrier metal layer 110 and the first bottom barrier metal layer 108 are preferably formed by tungsten nitride (WN), but not limited thereto. In the present embodiment, the tungsten nitride based bottom barrier metal layers 108 and 110 can be used as work function metal layers at the same time, with a work function of about 5eV, which is a good value for low threshold voltage devices. This is why the ㄩ -shaped p-type work function metal layer 112 is not required in the active region 300 or 400 of the present invention, since such bottom barrier metal layers can achieve the effects of diffusion barrier and work function adjustment simultaneously. For active region 400 with more trench space, the formation of a thicker, tungsten nitride-based first (lower) bottom barrier metal layer 108 may lower its work function to a level of uLVT. In the embodiment of the present invention, the wider trench space allows more voltage-adjusting space in the active region 400.
Please refer to fig. 7. After the gate features for adjusting the work function in each region are prepared, the low-resistance metal 118 is formed and filled in each gate trench, and a planarization process, such as CMP, is performed to remove the low-resistance metal 118, the second bottom barrier metal layer 110, the first bottom barrier metal layer 108, and the high-k dielectric layer 106 outside the gate trenches, thereby completing the fabrication of the transistors 216,316,416 in the active regions 200,300, 400. The low-resistance metal 118 material may include Al, Ti, Ta, W, Nb, Mo, TiN, TiC, TaN, Ti/W or Ti/TiN, etc., but is not limited thereto.
In the prior art, a top barrier metal (TBM, not shown) is also formed between the low-resistance metal and the underlying work function metal layer to prevent diffusion deterioration therebetween. However, the metal gates 302 and 402 may not have a top barrier metal layer because the metal gates 302 and 402 do not have a conventional work function metal layer, but instead have a bottom barrier metal layer based on tungsten nitride as the work function metal layer.
Since the transistors 216,316,416 have different structural compositions, they may have different electrical behavior. Specifically, the threshold voltage (SVT) of transistor 216 is the largest, the threshold voltage (LVT) of transistor 316 is the next largest, and the threshold voltage (uLVT) of transistor 416 is the smallest. In one embodiment, the threshold voltage of the transistor 216 is between about 0.3V and about 0.6V, the threshold voltage of the transistor 316 is between about 0.2V and about 0.3V, and the threshold voltage of the transistor 416 is between about 0.1V and about 0.2V.
In summary, the present invention provides a semiconductor structure having multiple transistors and a method for fabricating the same, wherein the transistors are formed with the same conductivity type but different gate members. For example, in the preferred embodiment, the semiconductor device includes a first transistor 216, a second transistor 316, and a third transistor 416, wherein the first transistor 216 includes a high-k layer 106, a first bottom barrier metal layer 108 on the high-k layer 106, a second bottom barrier metal layer 110 on the first bottom barrier metal layer 108, a work function metal layer 112 on the second bottom barrier metal layer 110, and a low-resistance metal 118 on the work function metal layer 112. The second transistor 316 includes the high-k layer 106, the first bottom barrier metal layer 108 on the high-k layer 106, the second bottom barrier metal layer 110 on the first bottom barrier metal layer 108, and the low-resistance metal 118 on the second bottom barrier metal layer 110. The third transistor 416 includes the high-k dielectric layer 106, the first bottom barrier metal layer 108 on the high-k dielectric layer 106, and the low-resistance metal 118 on the first bottom barrier metal layer 108. With such different gate compositions, it is possible to adjust the respective electrical behavior of the transistors and provide them with different threshold voltages.
The above description is only a preferred embodiment of the present invention, and all equivalent changes and modifications made in the claims of the present invention should be covered by the present invention.

Claims (13)

1. A semiconductor device, comprising:
a substrate;
a first transistor located on the substrate, wherein the first transistor comprises a high-k layer, a first bottom barrier metal layer located on the high-k layer, a second bottom barrier metal layer located on the first bottom barrier metal layer, a work function metal layer located on the second bottom barrier metal layer, and a low-resistance metal located on and in direct contact with the work function metal layer;
a second transistor located on the substrate, wherein the second transistor comprises the high-k layer, the first bottom barrier metal layer located over the high-k layer, the second bottom barrier metal layer located over the first bottom barrier metal layer, and the low-resistance metal located over and in direct contact with the second bottom barrier metal layer; and
a third transistor located on the substrate, wherein the third transistor includes the high dielectric constant layer, the first bottom barrier metal layer is located on the high dielectric constant layer, and the low resistance metal is located on and in direct contact with the first bottom barrier metal layer, wherein the first transistor, the second transistor, and the third transistor have the same conductor type but different threshold voltages.
2. The semiconductor device according to claim 1, wherein the first transistor, the second transistor, and the third transistor are p-type transistors.
3. The semiconductor device as claimed in claim 1, wherein the material of the first bottom barrier metal layer is tungsten nitride.
4. The semiconductor device as claimed in claim 1, wherein the material of the second bottom barrier metal layer is tungsten nitride.
5. The semiconductor device as defined in claim 1, wherein the first bottom barrier metal layer and the second bottom barrier metal layer are made of titanium nitride and tantalum nitride, respectively.
6. The semiconductor device as defined in claim 1, wherein the work function metal layer is shaped like ㄩ and has a top surface lower than a top surface of the low resistance metal.
7. The semiconductor device as claimed in claim 1, further comprising a top barrier metal layer between said work function metal layer and said low resistance metal.
8. The semiconductor device as claimed in claim 1, further comprising an interface layer between the substrate and the high-k layer.
9. A method of fabricating a semiconductor device, comprising:
providing a substrate having a first active region, a second active region and a third active region;
forming dummy gates in the first active region, the second active region and the third active region, respectively;
removing the dummy gates to form trenches in the first active region, the second active region, and the third active region, respectively;
forming a high-k layer in the trench, a first bottom barrier metal layer over the high-k layer, a second bottom barrier metal layer over the first bottom barrier metal layer, and a first work function metal layer over the second bottom barrier metal layer;
removing the first work function metal layer on the second active region and the third active region;
removing the second bottom barrier metal layer on the third active region; and
the trench is filled with a low-resistance metal in direct contact with the first work function metal layer on the first active region, the second bottom barrier metal layer on the second active region, and the first bottom barrier metal layer on the third active region, respectively.
10. The method as claimed in claim 9, further comprising performing an etching process to remove the first work-function metal layer at a predetermined height such that the first work-function metal layer is shaped like ㄩ.
11. The method as claimed in claim 10, further comprising forming a second work function metal layer on the ㄩ -shaped first work function metal layer, wherein the second work function metal layer and the first work function metal layer are respectively used in transistors with different conductor types.
12. The method of claim 11, further comprising removing the second workfunction metal layer from the first, second, and third active regions.
13. The method of claim 9, further comprising performing a chemical mechanical polishing process to remove the high-k layer, the first bottom barrier metal layer, and the second bottom barrier metal layer outside the trench.
CN201810004058.1A 2018-01-03 2018-01-03 Semiconductor device and method for fabricating the same Active CN109994472B (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
CN201810004058.1A CN109994472B (en) 2018-01-03 2018-01-03 Semiconductor device and method for fabricating the same
US15/866,489 US10249488B1 (en) 2018-01-03 2018-01-10 Semiconductor devices with same conductive type but different threshold voltages and method of fabricating the same
US16/273,003 US10755919B2 (en) 2018-01-03 2019-02-11 Method of fabricating semiconductor devices with same conductive type but different threshold voltages

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201810004058.1A CN109994472B (en) 2018-01-03 2018-01-03 Semiconductor device and method for fabricating the same

Publications (2)

Publication Number Publication Date
CN109994472A CN109994472A (en) 2019-07-09
CN109994472B true CN109994472B (en) 2021-12-28

Family

ID=65898670

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810004058.1A Active CN109994472B (en) 2018-01-03 2018-01-03 Semiconductor device and method for fabricating the same

Country Status (2)

Country Link
US (2) US10249488B1 (en)
CN (1) CN109994472B (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11342434B2 (en) * 2020-05-29 2022-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor devices and semiconductor devices
US11527536B2 (en) * 2021-01-07 2022-12-13 Micron Technology, Inc. Semiconductor structure with gate electrode doping
US11756834B2 (en) * 2021-01-28 2023-09-12 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming the same

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105514105A (en) * 2014-09-26 2016-04-20 联华电子股份有限公司 Integrated circuit and forming method thereof
CN105826265A (en) * 2015-01-09 2016-08-03 中芯国际集成电路制造(上海)有限公司 Method for forming semiconductor device
KR20160099773A (en) * 2015-02-12 2016-08-23 삼성전자주식회사 Semiconductor device and methods of forming the same
CN106033745A (en) * 2015-03-19 2016-10-19 联华电子股份有限公司 Semiconductor component and formation method thereof
US9502416B1 (en) * 2015-06-04 2016-11-22 Samsung Electronics Co., Ltd. Semiconductor device including transistors having different threshold voltages
CN106409830A (en) * 2015-07-27 2017-02-15 联华电子股份有限公司 Semiconductor element possessing metal gate and manufacturing method thereof

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8704294B2 (en) * 2011-06-13 2014-04-22 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
US8847333B2 (en) * 2011-09-01 2014-09-30 Taiwan Semiconductor Manufacturing Company, Ltd. Techniques providing metal gate devices with multiple barrier layers
JP5816539B2 (en) * 2011-12-05 2015-11-18 ルネサスエレクトロニクス株式会社 Semiconductor device and manufacturing method thereof
CN103187367B (en) * 2011-12-29 2017-06-23 联华电子股份有限公司 The preparation method of the semiconductor element with metal gates
US9012319B1 (en) * 2013-11-01 2015-04-21 Globalfoundries Inc. Methods of forming gate structures with multiple work functions and the resulting products
KR102212267B1 (en) * 2014-03-19 2021-02-04 삼성전자주식회사 Semiconductor device and method for fabricating the same
TWI624863B (en) * 2014-10-13 2018-05-21 聯華電子股份有限公司 Semiconductor device and method for fabricating the same
TWI635535B (en) * 2015-03-10 2018-09-11 聯華電子股份有限公司 Semiconductor process of forming metal gates with different threshold voltages and semiconductor structure thereof
KR102376503B1 (en) * 2015-04-23 2022-03-18 삼성전자주식회사 Integrated circuit device and method for manufacturing the same
KR102358318B1 (en) * 2015-06-04 2022-02-04 삼성전자주식회사 Semiconductor device having multi work function gate patterns
CN107305866A (en) * 2016-04-25 2017-10-31 联华电子股份有限公司 Semiconductor element and preparation method thereof
US9899264B2 (en) * 2016-06-30 2018-02-20 International Business Machines Corporation Integrated metal gate CMOS devices

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105514105A (en) * 2014-09-26 2016-04-20 联华电子股份有限公司 Integrated circuit and forming method thereof
CN105826265A (en) * 2015-01-09 2016-08-03 中芯国际集成电路制造(上海)有限公司 Method for forming semiconductor device
KR20160099773A (en) * 2015-02-12 2016-08-23 삼성전자주식회사 Semiconductor device and methods of forming the same
CN106033745A (en) * 2015-03-19 2016-10-19 联华电子股份有限公司 Semiconductor component and formation method thereof
US9502416B1 (en) * 2015-06-04 2016-11-22 Samsung Electronics Co., Ltd. Semiconductor device including transistors having different threshold voltages
CN106409830A (en) * 2015-07-27 2017-02-15 联华电子股份有限公司 Semiconductor element possessing metal gate and manufacturing method thereof

Also Published As

Publication number Publication date
US10755919B2 (en) 2020-08-25
US10249488B1 (en) 2019-04-02
CN109994472A (en) 2019-07-09
US20190206672A1 (en) 2019-07-04

Similar Documents

Publication Publication Date Title
US10109630B2 (en) Semiconductor device and method of forming the same
US8765546B1 (en) Method for fabricating fin-shaped field-effect transistor
CN105470200B (en) Semiconductor element with metal grid and manufacturing method thereof
US8592271B2 (en) Metal-gate CMOS device and fabrication method thereof
US9349822B2 (en) Semiconductor device and method for fabricating the same
US8093116B2 (en) Method for N/P patterning in a gate last process
US8673758B2 (en) Structure of metal gate and fabrication method thereof
CN106684041B (en) Semiconductor element and manufacturing method thereof
US20160104673A1 (en) Fin-shaped field-effect transistor with a germanium epitaxial cap and a method for fabricating the same
CN107275210B (en) Semiconductor element and manufacturing method thereof
US20150118836A1 (en) Method of fabricating semiconductor device
US10283507B2 (en) Semiconductor device and method for fabricating the same
US10340381B2 (en) Method for fabricating semiconductor structure
US20140361376A1 (en) Dielectric liner added after contact etch before silicide formation
CN106920839B (en) Semiconductor element and manufacturing method thereof
US20170309520A1 (en) Semiconductor device and method for fabricating the same
US10068919B2 (en) Semiconductor device and method of forming the same
US20210296466A1 (en) Semiconductor device and method for fabricating the same
CN109994472B (en) Semiconductor device and method for fabricating the same
TW201501299A (en) Method for fabricating FinFET transistor
TWI591730B (en) Semiconductor device and fabricating method thereof
US11664425B2 (en) P-type field effect transistor and method for fabricating the same
US11488870B2 (en) Semiconductor device and method for fabricating the same
TW201320336A (en) Metal oxide semiconductor transistor and manufacturing method thereof

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant