CN109828433B - 校正掩模布局的方法和使用其制造半导体器件的方法 - Google Patents

校正掩模布局的方法和使用其制造半导体器件的方法 Download PDF

Info

Publication number
CN109828433B
CN109828433B CN201811396840.9A CN201811396840A CN109828433B CN 109828433 B CN109828433 B CN 109828433B CN 201811396840 A CN201811396840 A CN 201811396840A CN 109828433 B CN109828433 B CN 109828433B
Authority
CN
China
Prior art keywords
mask layout
critical dimension
pattern
sub
regions
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201811396840.9A
Other languages
English (en)
Other versions
CN109828433A (zh
Inventor
文晟墉
李受龙
金昌焕
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN109828433A publication Critical patent/CN109828433A/zh
Application granted granted Critical
Publication of CN109828433B publication Critical patent/CN109828433B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

本发明构思提供了校正掩模布局的方法和使用其制造半导体器件的方法。该校正掩模布局的方法包括:提供包括第一图案的掩模布局,每个第一图案具有与第一临界尺寸(CD)值相关的尺寸;获得关于晶片的区域的形貌数据;使用形貌数据生成散焦图;以及基于散焦图校正掩模布局。生成散焦图包括分别为掩模布局的多个子区域设置第二CD值。第二CD值可以基于形貌数据设置。基于散焦图校正掩模布局包括将第一图案的尺寸校正为与第二CD值相关。

Description

校正掩模布局的方法和使用其制造半导体器件的方法
技术领域
本发明构思的实施方式涉及用于校正设计用于制造光掩模的掩模布局的方法以及使用该掩模布局制造半导体器件的方法。
背景技术
光掩模可以用于在用于制造半导体器件的光刻工艺中在晶片上印刷集成电路布局。通常,光刻工艺可以使用通过光学透镜将形成在光掩模上的掩模图案转印到晶片的方法。光掩模可以包括透明区域和不透明区域。透明区域可以通过蚀刻设置在光掩模上的金属层形成,并且可以透射光。另一方面,不透明区域可以不透光。掩模图案可以由透明区域和不透明区域形成。从光源发出的光可以通过光掩模的掩模图案照射到晶片,因此集成电路布局可以被印刷在晶片上。
随着半导体器件的集成度增加,光掩模的掩模图案变得更靠近彼此并且透明区域的宽度变得更窄。由于这种接近,可能发生光的干涉和衍射,因此可能在晶片上印刷与所需布局不同的失真布局。可以执行光学邻近校正以防止由这些光学效应引起的布局的变形。另外,可以执行工艺邻近校正以补偿由非光学效应(诸如半导体器件的制造工艺中的工艺变化)引起的布局的失真。
可以基于光刻模拟来执行光学邻近校正和工艺邻近校正,所述光刻模拟根据所设计的掩模布局和轮廓图像的临界尺寸(CD)预测将形成在晶片上的轮廓图像。
发明内容
本发明构思的实施方式可以提供一种校正掩模布局的方法,其能够补偿可能由晶片的单元阵列区的形貌引起的布局的变形。
本发明构思的实施方式还可以提供一种制造半导体器件的方法,其能够最小化晶片的单元阵列区上的单元图案的临界尺寸(CD)分散。
在一方面,一种校正掩模布局的方法可以包括:提供包括第一图案的掩模布局,每个第一图案具有与第一临界尺寸(CD)值相关的尺寸;获得关于晶片的区域的形貌数据;使用形貌数据生成散焦图;以及基于散焦图校正掩模布局。生成散焦图可以包括分别为掩模布局的多个子区域设置第二CD值。第二CD值可以基于形貌数据设置。基于散焦图校正掩模布局可以包括将第一图案的尺寸校正为与第二CD值相关。
在一方面,一种制造半导体器件的方法可以包括:设计包括第一图案的掩模布局,每个第一图案具有与第一临界尺寸值相关的尺寸;校正掩模布局;制造具有与校正后的掩模布局对应的掩模图案的光掩模;以及使用光掩模在晶片上进行光刻工艺。校正掩模布局可以包括:使用关于晶片的区域的形貌数据生成散焦图;以及基于散焦图校正掩模布局。生成散焦图可以包括分别为掩模布局的多个子区域设置第二CD值。第二CD值可以基于形貌数据设置。
附图说明
鉴于附图和随附的详细描述,本发明构思将变得更加明显。
图1是示出根据本发明构思的一些实施方式的用于执行校正掩模布局的方法的计算机系统的示意性框图。
图2是示出根据本发明构思的一些实施方式的用于校正掩模布局的方法的流程图。
图3是详细示出图2的操作S200的流程图。
图4是详细示出图3的操作S220的流程图。
图5是详细示出图4的操作S224的流程图。
图6至14是用于描述根据本发明构思的一些实施方式的用于校正掩模布局的方法的概念图。
图15是示出根据本发明构思的一些实施方式的使用用于校正掩模布局的方法来制造半导体器件的方法的流程图。
图16是示出根据本发明构思的一些实施方式的在制造半导体器件的方法中使用的光刻系统的概念图。
具体实施方式
在下文中,将参考附图详细描述本发明构思的实施方式。
图1是示出根据本发明构思的一些实施方式的用于执行校正掩模布局的方法的计算机系统的示意性框图。
参考图1,计算机系统500可以包括用于处理各种数据的数据处理器510、用于在设计的掩模布局上执行光刻模拟的模拟工具520、以及校正器530,该校正器530比较由模拟工具520生成的数据与期望数据并且在所生成的数据与期望的数据之间的差异超出允许范围时校正掩模布局。计算机系统500还可以包括能够存储各种数据的存储单元540。存储单元540可以包括硬盘和/或非易失性半导体存储器件(例如,快闪存储器件、相变存储器件和/或磁存储器件)。计算机系统500还可以包括输入/输出(I/O)单元550和接口单元560。I/O单元550可以包括键盘、键区和显示器件中的至少一个。从外部提供的各种数据可以通过接口单元560传输到计算机系统500,并且由计算机系统500处理的各种数据可以通过接口单元560传输到外部。接口单元560可以包括有线元件、无线元件和通用串行总线(USB)中的至少一个。数据处理器510、模拟工具520、校正器530、存储单元540、I/O单元550和接口单元560可以通过数据总线彼此连接或联接。
图2是示出根据本发明构思的一些实施方式的用于校正掩模布局的方法的流程图。图3是详细示出图2的操作S200的流程图,图4是详细示出图3的操作S220的流程图。图5是详细示出图4的操作S224的流程图。图6至14是用于描述根据本发明构思的一些实施方式的用于校正掩模布局的方法的概念图。
参考图2和6,可以提供设计的掩模布局10(S100)。掩模布局10可以包括将被印刷在晶片上的集成电路中的图案12。掩模布局10的图案12可以限定将形成在晶片的单元阵列区上的单元图案的平面形状。在这种情况下,掩模布局10的图案12可以包括重复布置的相同尺寸的图案。掩模布局10可以包括适当格式的数据(例如,GDS II)。例如,GDS II可以是数据图形系统,并且可以具有数据库文件格式。GDS II可以具有表示平面几何形状的二进制文件格式和/或关于分层形式的布局的其他信息。GDS II数据可用于重建光掩模的部分布局。
可以对掩模布局10执行基于散焦图的工艺邻近校正(S200)。在执行工艺邻近校正之前,可以选择掩模布局10的校正目标区20。可以对掩模布局10的校正目标区20执行工艺邻近校正。例如,校正目标区20可以是在该处图案通过掩模校正工艺被修正的区域。
例如,参考图3和图7至9,可以获得关于晶片100的区域的形貌数据(S210)。晶片100可以包括多个单元阵列区200,并且单元结构140可以设置在晶片100的单元阵列区200上。单元结构140可以包括下单元图案110、第一下层120和第二下层130。第一下层120和第二下层130可以设置在单元阵列区200上以顺序地覆盖下单元图案110。由于第一下层120的台阶覆盖的限制,第一下层120的顶表面可以跨单元阵列区200弯曲或弯。例如,第一下层120可以具有弯曲/弯的形貌,例如倾斜的形貌。在整个公开内容中,弯曲/弯的形貌可以是具有弯曲或弯的元件或部件的顶表面的形貌。第一下层120的形貌可以被转移到第二下层130,因此,单元结构140可以具有弯曲/弯的形貌。
可以获得关于晶片100的单元阵列区200的形貌数据,如图9所示。例如,可以通过使用扫描探针显微镜(例如原子力显微镜(AFM))测量晶片100的单元阵列区200的表面轮廓来获得形貌数据(例如,单元结构140的表面轮廓)。在某些实施方式中,可以通过诸如V-SEM的破坏性检查来测量晶片100的单元阵列区200的表面的高度(例如,单元结构140的表面的高度)来获得形貌数据。例如,单元结构140的表面的高度H可以从单元阵列区200的中心200C向单元阵列区200的边缘200E增加(例如,HC<HE)。
当执行光刻工艺以在单元结构140上形成单元图案时,由于单元结构140的形貌,可能发生光刻工艺的散焦。光刻工艺的散焦程度可以根据形貌(其可以用单元阵列区200的形貌数据表示)变化。例如,光刻工艺的最佳焦点BF可以在单元阵列区200的中心200C上,光刻工艺的散焦DF的程度可以从单元阵列区200的中心200C到边缘200E增加。在这种情况下,对应于失真布局的单元图案可以与掩模布局10的设计布局不同,并且可以被印刷在单元阵列区200的邻近边缘200E的区域上。
可以使用形貌数据生成散焦图(S220)。可以制备散焦图以补偿布局的失真,其中在该布局中的失真由于光刻工艺的散焦引起。在下文中,将详细描述生成散焦图的操作。
参考图4和10,掩模布局10的校正目标区20可以被划分为多个子区域30(S222)。校正目标区20可以对应于单元阵列区200的一部分,并且校正目标区20的边缘20E可以对应于单元阵列区200的边缘200E。所述多个子区域30可以是校正目标区20中的不同区域。掩模布局10的每个图案12可以被提供为具有与初始目标临界尺寸(CD)值CDt_i对应(或相关)的尺寸。例如,初始目标CD值CDt_i可以是最初分配给将形成在晶片的单元阵列区上的单元图案的CD值,并且掩模布局10的每个图案12可以具有与初始目标CD值CDt_i相关的尺寸(或大小)。所述多个子区域30中的每个可以包括至少一个图案12。
参考图4和11,可以为所述多个子区域30中的每个设置修正的目标CD值CDt_n(其中‘n’是等于或大于1的整数)(S224)。修正的目标CD值CDt_n可以基于形貌数据获得。针对所述多个子区域30中的每个设置修正的目标CD值CDt_n可以通过使用掩模布局10和预定的工艺模型作为输入数据的光刻模拟来执行。光刻模拟可以通过操作/驱动计算机系统500的模拟工具520来执行。例如,预定的工艺模型可以是一组有助于基于输入变量的光刻模拟的工作过程,其中输入变量可以包括单元区域的形貌数据。修正的目标CD值CDt_n可以是通过将初始分配的CD值修正为将形成在晶片的单元阵列区上的单元图案而获得的CD值。
例如,参考图5和12,可以通过使用形貌数据的光刻模拟来生成关于所述多个子区域30的每个子区域中的图案12的预测CD值CDp(S10)。在这种情况下,光刻模拟中使用的工艺模型可以包括根据光刻工艺的焦点变化的关于单元图案的CD值的数据。可以以这样的方式设置工艺模型:光刻工艺的焦点变化取决于形貌数据。例如,如参考图9所述,光刻工艺的最佳焦点BF可以在单元阵列区200的中心200C上,并且光刻工艺的散焦DF的程度可以从单元阵列区200的中心200C到边缘200E增加。可以对于每个子区域30提供初始目标CD值CDt_i。初始目标CD值CDt_i可以是光刻工艺的最佳焦点BF处的值。掩模布局10的每个子区域30的图案12可以具有与初始目标CD值CDt_i对应(或相关)的尺寸。关于每个子区域30中的图案12的预测CD值CDp可以通过使用工艺模型的光刻模拟来生成。当在光刻工艺中发生散焦(例如,图12的DF)时,预测CD值CDp可以与初始目标CD值CDt_i不同(例如,预测CD值CDp可以小于初始目标CD值CDt_i)。例如,当利用在其中晶片图案的CD被设计为小于相应掩模图案的尺寸(或大小)(例如,晶片图案与掩模图案的比率小于1)的光刻系统来处理具有图8和9中所示的形貌的晶片时,发生散焦时预测CD值CDp可以小于期望的CD(例如,初始目标CD值CDt_i)值。具有不同形貌的其他示例可以用上面类似地使用的放大/缩小的几何逻辑来容易地估计。
每个子区域30的初始目标CD值CDt_i可以被修正以使得预测CD值CDp变成期望的CD值(例如,等于初始目标CD值CDt_i的值)(S20)。因此,可以设置每个子区域30的修正的目标CD值CDt_n。例如,修正后的目标CD值CDt_n可以是在用于晶片的不平坦(例如,弯曲/弯)表面的光刻工艺的最佳焦点BF处的值。对每个子区域30的初始目标CD值CDt_i的修正可以通过使用/驱动计算机系统500的数据处理器510来执行。在上述描述中,初始目标CD值和修正的目标CD值是被用于掩模布局10的图案的CD值,预测CD值和期望的CD值是被用于晶片上的图案的CD值。
再次参考图4和11,可以提供包括分别为子区域30设置的修正后的目标CD值CDt_n的散焦图50(S226)。散焦图50中的至少一些修正后的目标CD值可以彼此不同。当光刻工艺的散焦DF的程度参照图9描述那样地从单元阵列区200的中心200C到边缘200E增加时,初始目标CD值CDt_i与关于邻近校正目标区20的边缘20E的子区域30设置的每个修正后的目标CD值(例如,CDt_3、CDt_4、CDt_7、CDt_8和CDt_9)之间的差异可能大于初始目标CD值CDt_i与关于远离校正目标区20的边缘20E的子区域30设置的每个修正的目标CD值(例如,CDt_1、CDt_2、CDt_5和CDt_6)之间的差异。例如,关于邻近校正目标区20的边缘20E的子区域30设置的修正后的目标CD值(例如,CDt_3、CDt_4、CDt_7、CDt_8和CDt_9)可以大于关于远离校正目标区20的边缘20E的子区域30设置的修正后的目标CD值(例如,CDt_1、CDt_2、CDt_5和CDt_6)。散焦图50可以由计算机系统500的数据处理器510提供。
参考图3和13,在生成散焦图50之后,可以基于散焦图50来校正掩模布局10(S230)。掩模布局10的校正可以包括以这样的方式校正掩模布局10的图案12:每个子区域30中的图案12具有与修正后的目标CD值CDt_n对应(或相关)的尺寸。在这种情况下,校正后的掩模布局10中的至少一些图案12可以具有彼此不同的尺寸(例如,与不同的CD值相关的尺寸)。当如参照图9所述的光刻工艺的散焦DF的程度从单元阵列区200的中心200C向边缘200E增加时,与校正目标区20的边缘20E相邻的子区域30中的图案12的尺寸可以不同于(例如,大于)与校正目标区20的边缘20E远离的子区域30中的图案12的尺寸。计算机系统500的数据处理器510可以将散焦图50提供给校正器530,并且计算机系统500的校正器530可以基于散焦图50校正掩模布局10。
在某些实施方式中,散焦图50可以包括散焦值,例如,基于形貌数据和/或初始目标CD值CDt_i与各个子区域30中的预测CD值CDp之间的差异,在不同子区域30中从掩模图案到晶片表面的深度上的差异。在某些实施方式中,掩模布局10的校正可以包括相对于各子区域产生修正的目标CD值CDt_n,其将应用于掩模布局10以产生校正的掩模布局。
参考图3和14,可以验证校正的掩模布局10(S240)。校正的掩模布局10可以包括适当格式的数据(例如,GDS II),用于定义将被印刷在晶片100的单元阵列区200上的单元图案。校正后的掩模布局10的验证可以由参考图5和12所描述的使用形貌数据的光刻模拟来执行。在这种情况下,可以通过光刻模拟产生关于校正的掩模布局10的针对将形成在晶片上的单元图案的预测CD值CDp。当每个预测CD值CDp和期望CD值(例如,等于形成在晶片上的单元图案的初始目标CD值CDt_i的值)之间的差异在允许范围内时,可以在校正的掩模布局10上执行后续操作(例如,执行光学邻近校正S300)。当每个预测CD值CDp与期望CD值(例如,等于初始目标CD值CDt_i的值)之间的差异超出允许范围时,操作可以返回到图3的操作S220。例如,当预测CD值CDp和期望CD值之间的差异超出允许范围时,例如,通过调整形貌数据和/或通过调整计算修正的目标CD值的模拟变量,再次修正之前已修正的目标CD值。
参考图2和14,可以在校正的掩模布局10的校正目标区20上执行光学邻近校正(S300)。执行光学邻近校正(OPC)可以包括从校正的掩模布局10预测将在晶片100上形成的轮廓图像,并且另外基于预测结果校正校正的掩模布局10。可以通过使用校正的掩模布局10和预定的光学模型作为输入数据的光刻模拟来执行校正的掩模布局10的轮廓图像的预测。可以通过驱动/操作计算机系统500的模拟工具520来执行光刻模拟。光学模型可以包括关于光刻工艺的工艺参数的数据,例如曝光光束的强度和波长,与照明系统相关的物理参数、和/或光致抗蚀剂的物理/化学特性。另外,光学模型还可以包括关于后续蚀刻工艺的工艺参数的数据(例如,使用通过光刻工艺形成的光致抗蚀剂图案作为蚀刻掩模)。校正的掩模布局10的附加校正可以包括比较轮廓图像与期望图像,并且当轮廓图像和期望图像之间的差异超出可允许范围时,另外校正校正的掩模布局10的图案12。在校正的掩模布局10的附加校正中可以使用向校正的掩模布局10的图案12添加或从其去除等于或小于分辨率的图案的方法。校正的掩模布局10的附加校正可以通过驱动计算机系统500的校正器530执行。
当轮廓图像和期望图像之间的差异在允许范围内时,可以获得最终的掩模布局(S400)。
通常,在晶片100的单元阵列区200上提供的单元结构140具有不均匀(例如,弯曲/弯)的形貌的情况下,在单元结构140上执行的光刻工艺会在单元阵列区200的特定区域上散焦。如果掩模布局10的每个图案12具有对应于(或相对于)初始目标CD值CDt_i的尺寸,则图案12可能由于光刻工艺的散焦而以与设计形状不同的变形形状被印刷在单元阵列区200的所述特定区域上。由于不管单元结构140的形貌如何都执行掩模布局10的光学邻近校正,所以取决于单元结构140的形貌,可能不足以补偿可能由光刻工艺的散焦引起的布局的变形。
根据本发明构思,可以获得关于单元阵列区200的形貌数据,并且可以使用该形貌数据生成散焦图50。散焦图50可以包括关于掩模布局10的校正目标区20的所述多个子区域30分别设置的修正后的目标CD值CDt_n。对掩模布局10的工艺邻近校正可以基于使用形貌数据生成的散焦图50执行,因而,当使用校正的掩模布局10执行光刻工艺时,可以补偿由光刻工艺的散焦引起的布局的变形。例如,工艺邻近校正和/或使用形貌数据有益于提供用于校正掩模布局的方法,其可以补偿由晶片100的单元阵列区200的不均匀(例如,弯曲/弯)形貌引起的布局的变形。
图15是示出根据本发明构思的一些实施方式的使用用于校正掩模布局的方法制造半导体器件的方法的流程图。图16是示出根据本发明构思的一些实施方式的在制造半导体器件的方法中使用的光刻系统的概念图。
参考图15,可以设计掩模布局10(S1000)。可以使用电子设计自动化(EDA)软件来执行掩模布局10的设计。掩模布局10可以包括将被印在晶片上的集成电路中的图案12。掩模布局10可以包括适当格式的数据(例如,GDS II)。
可以校正掩模布局10(S2000)。如参考图2所述的,掩模布局10的校正可以包括提供掩模布局10(S100),基于关于掩模布局10的散焦图50执行工艺邻近校正(S200),对掩模布局10执行光学邻近校正(S300),以及获得最终校正的掩模布局10(S400)。基于掩模布局10的散焦图50执行工艺邻近校正可以与参照图3至14描述的相同。
可以使用校正的掩模布局10来制造光掩模(S3000)。光掩模的制造可包括:在石英基板上提供具有金属层(例如,铬(Cr)层)和光致抗蚀剂层的空白掩模;将校正的掩模布局转移到空白掩模的光致抗蚀剂层上;显影该光致抗蚀剂层以形成包括与校正的掩模布局对应的图案的光致抗蚀剂图案;以及通过使用光致抗蚀剂图案作为蚀刻掩模来蚀刻空白掩模的金属层。光掩模可以包括通过蚀刻工艺而对应于校正的掩模布局的掩模图案。
参考图15和16,可以执行使用光掩模的光刻工艺(S4000)。可以执行光刻工艺以在晶片100上印刷与光掩模的掩模图案对应的图案。可以使用光刻系统1000执行光刻工艺。光刻系统1000可以包括光源1200、光掩模1400、缩小投影单元1600和晶片台1800。
光源1200可以发射光。从光源1200发射的光可以被照射或提供给光掩模1400。在一些实施方式中,可以在光源1200和光掩模1400之间提供透镜以调节光的焦点。光源1200可以包括紫外光源(例如,具有234nm波长的KrF光源或具有193nm波长的ArF光源)。光源1200可以包括单点光源L1。然而,本发明构思的实施方式不限于此。在某些实施方式中,光源1200可以包括多个点光源L1、L2和L3。
光掩模1400可以包括用于在晶片100上印刷集成电路布局的掩模图案。光掩模1400可以包括透明区域和不透明区域,掩模图案可以由透明和不透明区域形成。如上所述,透明区域可以通过蚀刻光掩模1400上的金属层形成。透明区域可以透射从光源1200发射的光。另一方面,不透明区域可以不透射光而是可以阻挡光。虽然图16的光掩模1400设置在光源L1和晶片台1800之间,但是本公开的实施方式不限于此。例如,光掩模1400可以远离连接光源L1和晶片台1800的假想线设置。在这种情况下,光掩模1400的不透明区域可以将从光源L1照射的光反射到设置在晶片台上的晶片,使得图案化的光照射晶片100的表面以曝光形成在晶片100上的光致抗蚀剂层。当光掩模1400设置在光源L1和晶片台1800之间时,如图16所示,通过透过光掩模1400形成的光图案可以照射设置在晶片台1800上的晶片100的表面,以曝光形成在晶片100上的光致抗蚀剂层。
缩小投影单元1600可以接收透过光掩模1400的透明区域的光。缩小投影单元1600可以匹配将被由印刷在晶片100上的布局的电路图案与光掩模1400的掩模图案。晶片台1800可以支撑晶片100。
在一些实施方式中,缩小投影单元1600可包括孔径。孔径可用于增加从光源1200发射的紫外光的焦点深度。例如,孔径可以包括偶极孔径或四极孔径。在一些实施方式中,缩小投影单元1600还可以包括用于调节光焦点的透镜。
透过光掩模1400的透明区域的光可以通过缩小投影单元1600被照射或提供给晶片100。因此,可以在晶片100上印刷与光掩模1400的掩模图案对应的图案。
此后,可以在晶片100上执行用于制造半导体器件的后续工艺,因此可以在晶片100上制造半导体器件。
通常,在晶片100的单元阵列区200上提供的单元结构140具有不均匀(例如,弯曲/弯)的形貌的情况下,掩模布局10的图案12可能由于由单元结构140的不均匀形貌引起的光刻工艺的散焦而以不同于设计形状的变形形状被印刷在单元阵列区200的特定区域上。在这种情况下,在单元阵列区200上形成的单元图案的CD分散可以增加。
根据本发明构思,在设计半导体器件的操作中,可以基于使用单元阵列区200的形貌数据生成的散焦图50来校正掩模布局10。光掩模1400可以使用校正的掩模布局10制造。可以使用光掩模1400执行光刻工艺,以通过光刻工艺的散焦来补偿布局的变形。因此,可以减小或最小化在单元阵列区200上形成的单元图案的CD分散。结果,提供了制造半导体器件的方法,并且该方法可以减小或最小化在晶片100的单元阵列区200上的单元图案的CD分散。
根据本发明构思,提供了用于校正掩模布局的方法,并且该方法可以补偿由于晶片的单元阵列区的不均匀形貌引起的布局的变形。例如,提供了制造半导体器件的方法,并且该方法可以减小或最小化在晶片的单元阵列区上形成的单元图案的CD分散。
虽然已经参考示例实施方式描述了本发明构思,但是对于本领域技术人员来说显而易见的是,在不脱离本发明构思的精神和范围的情况下,可以进行各种改变和修改。因此,应该理解,上述实施方式不是限制性的,而是说明性的。因此,本发明构思的范围由以下权利要求及其等同物的最宽泛的可允许解释来确定,而不应受前述描述的限制或约束。
本专利申请要求于2017年11月23日在韩国知识产权局提交的韩国专利申请第10-2017-0157209号的优先权,其公开内容通过引用被整体合并于此。

Claims (18)

1.一种校正掩模布局的方法,该方法包括:
提供包括第一图案的掩模布局,所述第一图案的每个具有与第一临界尺寸(CD)值相关的尺寸;以及
基于散焦图对所述掩膜布局执行工艺邻近校正,其包括:
获得关于晶片的区域的形貌数据;
使用所述形貌数据生成所述散焦图;以及
基于所述散焦图校正所述掩模布局,
其中生成所述散焦图包括分别为所述掩模布局的多个子区域设置第二临界尺寸值,
其中所述第二临界尺寸值基于所述形貌数据设置,
其中基于所述散焦图校正所述掩模布局包括将所述第一图案的所述尺寸校正为与所述第二临界尺寸值相关,
其中所述掩模布局的所述第一图案被配置为在所述晶片上的集成电路中印刷第二图案,
其中所述散焦图包括分别为所述掩模布局的所述多个子区域设置的所述第二临界尺寸值,以及
其中基于所述散焦图校正所述掩模布局包括:校正所述掩模布局的所述第一图案,使得所述多个子区域中的每个子区域中的所述第一图案具有与每个所述第二临界尺寸值相关的校正尺寸。
2.根据权利要求1所述的方法,
其中为所述掩模布局的所述多个子区域设置所述第二临界尺寸值包括:
通过使用掩模布局和工艺模型作为输入数据的光刻模拟,生成将被印刷在与所述多个子区域中的每个对应的所述晶片上的所述第二图案的预测临界尺寸值;以及
修正所述多个子区域中的每个的所述第一临界尺寸值以使得所述预测临界尺寸值变为第三临界尺寸值。
3.根据权利要求2所述的方法,其中所述工艺模型包括根据光刻工艺的焦点变化的关于所述第二图案的临界尺寸值的数据,以及
其中,所述工艺模型以所述光刻工艺的所述焦点变化取决于所述形貌数据这样的方式设置。
4.根据权利要求1所述的方法,其中生成所述散焦图包括:
将所述掩模布局的区域划分为所述多个子区域;以及
提供包括分别为所述多个子区域设置的所述第二临界尺寸值的所述散焦图。
5.根据权利要求1所述的方法,其中所述掩模布局的所述第一图案具有与所述多个子区域中的所述第一临界尺寸值相关的相同尺寸并且被重复排列,以及
其中不同子区域的所述第二临界尺寸值中的至少一些彼此不同。
6.根据权利要求1所述的方法,其中所述形貌数据通过使用扫描探针显微镜测量所述晶片的所述区域的表面轮廓而获得。
7.根据权利要求1所述的方法,其中所述掩模布局的所述第一图案对应于将被印刷在所述晶片的单元阵列区上的单元图案,以及
其中所述形貌数据从所述晶片的所述单元阵列区获得。
8.根据权利要求1所述的方法,还包括:
通过执行光学邻近校正来校正所述掩模布局。
9.根据权利要求1所述的方法,其中通过破坏性检查测量所述晶片的所述区域的表面的高度来获得所述形貌数据。
10.一种制造半导体器件的方法,该方法包括:
设计包括第一图案的掩模布局,所述第一图案的每个具有与第一临界尺寸(CD)值相关的尺寸;
校正所述掩模布局;
制造具有与校正后的掩模布局对应的掩模图案的光掩模;以及
使用所述光掩模在晶片上进行光刻工艺,
其中校正所述掩模布局包括:
基于散焦图对所述掩膜布局执行工艺邻近校正,其包括:使用关于所述晶片的区域的形貌数据生成所述散焦图;以及基于所述散焦图校正所述掩模布局,
其中生成所述散焦图包括分别为所述掩模布局的多个子区域设置第二临界尺寸值,
其中所述第二临界尺寸值基于所述形貌数据设置,
其中所述掩模布局的所述第一图案具有与所述多个子区域中的所述第一临界尺寸值相关的相同尺寸并且被重复排列,
其中所述散焦图包括分别为所述掩模布局的所述多个子区域设置的所述第二临界尺寸值,以及
其中基于所述散焦图校正所述掩模布局包括校正所述掩模布局的所述第一图案,以使得所述多个子区域中的每个子区域中的所述第一图案具有与所述第二临界尺寸值的每个相关的校正尺寸。
11.根据权利要求10所述的方法,其中所述形貌数据通过使用扫描探针显微镜测量所述晶片的所述区域的表面轮廓而获得。
12.根据权利要求10所述的方法,其中所述形貌数据通过借助破坏性检查测量所述晶片的所述区域的表面的高度而获得。
13.根据权利要求10所述的方法,其中生成所述散焦图包括:
将所述掩模布局的区域划分为所述多个子区域;以及
提供包括分别为所述多个子区域设置的所述第二临界尺寸值的所述散焦图。
14.根据权利要求10所述的方法,其中为所述掩模布局的所述多个子区域设置所述第二临界尺寸值包括:
通过使用所述掩模布局和工艺模型作为输入数据的光刻模拟,生成将形成在与所述多个子区域中的每个对应的所述晶片上的第二图案的预测临界尺寸值;以及
对所述多个子区域中的每个修正所述第一临界尺寸值以使得所述预测临界尺寸值变为第三临界尺寸值。
15.根据权利要求14所述的方法,其中所述工艺模型包括根据所述光刻工艺的焦点变化的关于所述第二图案的临界尺寸值的数据,以及
其中所述工艺模型以所述焦点变化取决于所述形貌数据这样的方式设置。
16.根据权利要求10所述的方法,其中分别为所述掩模布局的所述多个子区域设置的所述第二临界尺寸值中的至少一些彼此不同。
17.根据权利要求10所述的方法,其中校正所述掩模布局还包括通过执行光学邻近校正来校正所述掩模布局。
18.根据权利要求10所述的方法,其中所述掩模布局包括与将被印刷在所述晶片的单元阵列区上的单元图案对应的所述第一图案,以及
其中所述形貌数据从所述晶片的所述单元阵列区获得。
CN201811396840.9A 2017-11-23 2018-11-22 校正掩模布局的方法和使用其制造半导体器件的方法 Active CN109828433B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2017-0157209 2017-11-23
KR1020170157209A KR102570888B1 (ko) 2017-11-23 2017-11-23 마스크 레이아웃의 보정 방법 및 이를 이용한 반도체 소자의 제조방법

Publications (2)

Publication Number Publication Date
CN109828433A CN109828433A (zh) 2019-05-31
CN109828433B true CN109828433B (zh) 2023-10-13

Family

ID=66532935

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201811396840.9A Active CN109828433B (zh) 2017-11-23 2018-11-22 校正掩模布局的方法和使用其制造半导体器件的方法

Country Status (3)

Country Link
US (1) US10620547B2 (zh)
KR (1) KR102570888B1 (zh)
CN (1) CN109828433B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113168085A (zh) 2018-11-30 2021-07-23 Asml荷兰有限公司 用于基于可制造性确定图案形成装置图案的方法
US20220390828A1 (en) * 2021-06-07 2022-12-08 United Microelectronics Corp. Method of making mask pattern and method of forming pattern in layer

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5994009A (en) * 1997-11-17 1999-11-30 Taiwan Semiconductor Manufacturing Company, Ltd. Interlayer method utilizing CAD for process-induced proximity effect correction
US6418553B1 (en) * 1999-03-12 2002-07-09 Kabushiki Kaisha Toshiba Circuit designing method for semiconductor device and computer-readable medium

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7363099B2 (en) 2002-06-07 2008-04-22 Cadence Design Systems, Inc. Integrated circuit metrology
US20040121069A1 (en) * 2002-08-08 2004-06-24 Ferranti David C. Repairing defects on photomasks using a charged particle beam and topographical data from a scanning probe microscope
US7324214B2 (en) 2003-03-06 2008-01-29 Zygo Corporation Interferometer and method for measuring characteristics of optically unresolved surface features
US6873938B1 (en) 2003-09-17 2005-03-29 Asml Netherlands B.V. Adaptive lithographic critical dimension enhancement
US7199861B2 (en) 2004-06-01 2007-04-03 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US20060199087A1 (en) * 2005-03-03 2006-09-07 Lucas Kevin D Method of making an integrated circuit by modifying a design layout by accounting for a parameter that varies based on a location within an exposure field
US20070093044A1 (en) * 2005-10-25 2007-04-26 Asml Netherlands B.V. Method of depositing a metal layer onto a substrate and a method for measuring in three dimensions the topographical features of a substrate
US7759023B2 (en) 2006-12-29 2010-07-20 Sandisk 3D Llc Hybrid mask and method of making same
US7541290B2 (en) 2007-03-08 2009-06-02 Samsung Electronics Co., Ltd. Methods of forming mask patterns on semiconductor wafers that compensate for nonuniform center-to-edge etch rates during photolithographic processing
KR20090044538A (ko) * 2007-10-31 2009-05-07 주식회사 하이닉스반도체 노광 마스크 및 이를 이용한 반도체 소자의 형성 방법
KR101077453B1 (ko) 2009-03-31 2011-10-26 주식회사 하이닉스반도체 반도체 소자의 패턴 형성 방법
US8755045B2 (en) * 2012-01-06 2014-06-17 Taiwan Semiconductor Manufacturing Company, Ltd. Detecting method for forming semiconductor device
US9484186B2 (en) 2012-10-23 2016-11-01 Synopsys, Inc. Modeling and correcting short-range and long-range effects in E-beam lithography
US9064084B2 (en) * 2013-03-14 2015-06-23 Globalfoundries Singapore Pte. Ltd. Topography driven OPC and lithography flow
US9494853B2 (en) * 2013-12-18 2016-11-15 Cypress Semiconductor Corporation Increasing lithographic depth of focus window using wafer topography
KR20150092627A (ko) * 2014-02-05 2015-08-13 삼성전자주식회사 신호 공유를 이용한 다중 분석 알고리즘 및 관련된 장치
US20160154922A1 (en) * 2014-12-01 2016-06-02 Globalfoundries Inc. Optical proximity correction taking into account wafer topography

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5994009A (en) * 1997-11-17 1999-11-30 Taiwan Semiconductor Manufacturing Company, Ltd. Interlayer method utilizing CAD for process-induced proximity effect correction
US6418553B1 (en) * 1999-03-12 2002-07-09 Kabushiki Kaisha Toshiba Circuit designing method for semiconductor device and computer-readable medium

Also Published As

Publication number Publication date
KR102570888B1 (ko) 2023-08-28
US20190155169A1 (en) 2019-05-23
KR20190059527A (ko) 2019-05-31
US10620547B2 (en) 2020-04-14
CN109828433A (zh) 2019-05-31

Similar Documents

Publication Publication Date Title
US6749972B2 (en) Optical proximity correction common process window maximization over varying feature pitch
CN102221776B (zh) 用于光学邻近效应校正的多变量求解器
Liebmann et al. TCAD development for lithography resolution enhancement
US7814456B2 (en) Method and system for topography-aware reticle enhancement
US10223494B2 (en) Semiconductor device manufacturing method and mask manufacturing method
CN107885043B (zh) 增进微影可印性的方法
CN108205600B (zh) 掩模优化方法及集成电路系统
JP2004133426A (ja) 自動光近接補正(opc)ルール作成
TWI742184B (zh) 目標最佳化方法
JP2003215780A (ja) 2次元フィーチャ・モデルの較正および最適化方法
US20080063948A1 (en) Method for achieving compliant sub-resolution assist features
TW200527120A (en) A method for performing transmission tuning of a mask pattern to improve process latitude
CN109828433B (zh) 校正掩模布局的方法和使用其制造半导体器件的方法
US20080320435A1 (en) Optical proximity correction improvement by fracturing after pre-optical proximity correction
US20240143887A1 (en) Method and system for reducing layout distortion due to exposure non-uniformity
JP4316026B2 (ja) マスクパターンの作製方法及びフォトマスク
KR102556509B1 (ko) 마스크 레이아웃의 래스터화 방법 및 이를 이용한 포토 마스크의 제조방법
CN108628109B (zh) 光刻曝光设备及光刻曝光方法
JP2004157160A (ja) プロセスモデル作成方法、マスクパターン設計方法、マスクおよび半導体装置の製造方法
US20030157808A1 (en) Photoresist reflow for enhanced process window for random, isolated, semi-dense, and other non-dense contacts
US8124300B1 (en) Method of lithographic mask correction using localized transmission adjustment
CN113158609B (zh) 半导体器件及其制造方法
US20230168590A1 (en) Methods for optical proximity correction and methods of manufacturing semiconductor devices having the same
CN113534599A (zh) 光学邻近校正方法和包括该方法的制造掩模的方法
JP2006038896A (ja) マスクパターン作製方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant