CN109583004B - 计算半导体器件的温度值与时间值关系的方法和装置 - Google Patents

计算半导体器件的温度值与时间值关系的方法和装置 Download PDF

Info

Publication number
CN109583004B
CN109583004B CN201811198183.7A CN201811198183A CN109583004B CN 109583004 B CN109583004 B CN 109583004B CN 201811198183 A CN201811198183 A CN 201811198183A CN 109583004 B CN109583004 B CN 109583004B
Authority
CN
China
Prior art keywords
semiconductor device
value
parameters
obtaining
temperature
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201811198183.7A
Other languages
English (en)
Other versions
CN109583004A (zh
Inventor
袁嘉隆
柯攀
胡一峰
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hangzhou Silan Integrated Circuit Co Ltd
Original Assignee
Hangzhou Silan Integrated Circuit Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hangzhou Silan Integrated Circuit Co Ltd filed Critical Hangzhou Silan Integrated Circuit Co Ltd
Priority to CN201811198183.7A priority Critical patent/CN109583004B/zh
Publication of CN109583004A publication Critical patent/CN109583004A/zh
Application granted granted Critical
Publication of CN109583004B publication Critical patent/CN109583004B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • G06F30/23Design optimisation, verification or simulation using finite element methods [FEM] or finite difference methods [FDM]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/10Geometric CAD
    • G06F30/17Mechanical parametric or variational design
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/08Thermal analysis or thermal optimisation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2119/00Details relating to the type or aim of the analysis or the optimisation
    • G06F2119/12Timing analysis or timing optimisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Geometry (AREA)
  • Theoretical Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Evolutionary Computation (AREA)
  • Computer Hardware Design (AREA)
  • General Engineering & Computer Science (AREA)
  • Pure & Applied Mathematics (AREA)
  • Mathematical Optimization (AREA)
  • Mathematical Analysis (AREA)
  • Computational Mathematics (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

本申请公开了一种计算半导体器件的温度值与时间值关系的方法和装置,该方法包括:获取半导体器件的参数;根据参数获得至少一组时间值;根据参数获得半导体器件的瞬态热阻抗的表达式;以及根据参数、瞬态热阻抗的表达式以及时间值获得相应的半导体器件的温度值,通过获取半导体器件的参数,并根据参数进行温度值与时间值曲线的计算,在获得用户输入参数之后,进行查表以及自动计算温度值与时间值的关系曲线,从而简化了操作流程,提高了工作效率。

Description

计算半导体器件的温度值与时间值关系的方法和装置
技术领域
本公开涉及半导体领域,更具体地,涉及一种计算半导体器件的温度值与时间值关系的方法和装置,其主要适用于TO封装系列。
背景技术
随着现代电子产品不断向轻、薄、短、小的趋势发展,作为半导体分立器件中的一个重要组成部分,一般采用晶体管外形封装(Transistor Out-line,TO),其发热源为TO封装内部芯片,在脉冲信号输入的条件下,从局部来看,随着脉冲信号高低电位的不断变化,TO封装内芯片的结温也呈现出上升、下降的波动,且波动的幅度随着脉冲的信号输入频率的降低而增大。尤其在大功率、低频、环境温度较高的工作条件下,结温波动会大于10℃,甚至更高。因此,结温的峰值就有可能超出极限值Tj,max。从而导致产品的寿命降低,甚至很快失效。
一般来说,仿真计算所使用的都是商业软件,其仿真都需要先建立完整而详细的三维模型,不仅使用门槛较高,而且建立三维模型也相对费时,不利于工程人员的使用。目前并没有一种专门计算TO封装产品温度值与时间值关系曲线的仿真系统。
发明内容
有鉴于此,本公开提供了一种计算半导体器件的温度值与时间值的方法和装置,可以根据所获取的半导体器件的参数,自动计算温度值与时间值的关系曲线。
根据本发明的一方面,提供一种计算半导体器件的温度值与时间值关系的方法,包括:获取半导体器件的参数;根据所述参数获得至少一组时间值;根据所述参数获得所述半导体器件的瞬态热阻抗的表达式;以及根据所述参数、所述瞬态热阻抗的表达式以及所述时间值获得相应的所述半导体器件的温度值。
优选的,所述半导体器件包括多个分层,获得所述半导体器件的瞬态热阻抗的表达式的步骤包括:基于热扩散角原理根据所述参数计算所述多个分层的热阻与所述多个分层的热容;以及根据所述多个分层的热阻与所述多个分层的热容获得所述瞬态热阻抗的表达式。
优选的,所述参数包括每个分层的尺寸、导热系数、定压比热容以及密度,所述分层之一为芯片,所述芯片的参数还包括所述芯片的额定电压。
优选的,计算所述多个分层的热容的步骤包括:根据所述芯片的尺寸和额定电压确定所述芯片上的热源的尺寸;根据所述每个分层的尺寸、导热系数以及所述热源的尺寸计算所述每个分层的热扩散角;以及根据所述每个分层的尺寸、热扩散角、定压比热容以及密度计算每个分层的热容。
优选的,计算每个分层的热容的步骤包括:根据以下等式计算每个分层的热容
Ci=Vii*Cpi
其中,i表示第i分层,C表示分层的热容,ρ表示分层的密度,V表示分层的热流体积,Cp表示分层的定压比热容。
优选的,当lxi=lyi并且Lxi=Lyi时,通过以下等式计算分层的热流体积
Figure BDA0001829342720000021
其中,li=lxi=lyi,αi=αxi=αyi,l表示热源长度的二分之一,L表示分层的长度的二分之一,w表示分层厚度,α表示分层的热扩散角,x和y分别表示分层中的第一方向和第二方向。
优选的,当lxi≠lyi和/或Lxi≠Lyi时,通过以下等式计算分层的热流体积
Figure BDA0001829342720000022
其中,l表示热源长度的二分之一,L表示分层的长度的二分之一,w表示分层厚度,α表示分层的热扩散角,x和y分别表示分层中的第一方向和第二方向。
优选的,所述分层包括3层,获得所述瞬态热阻抗的表达式的步骤包括:根据以下等式获得所述瞬态热阻抗的表达式
Figure BDA0001829342720000031
其中,τ1=C1*R1+C2*R2+C1*R2,τ2=C3*R3,a1=R1+R2,a2=R3,t为时间值,C1为第一分层的热容,R1为第一分层的热阻,C2为第二分层的热容,R2为第二分层的热阻,C3为第三分层的热容,R3为第三分层的热阻。
优选的,所述参数还包括:输入波形、信号频率、占空比、周期个数上限、峰值损耗以及外壳温度,其中,所述输入波形为方波。
优选的,获得至少一组时间值的步骤包括:根据所述信号频率获得周期;根据所述周期个数上限获得一组周期序数;根据所述周期与所述占空比获得升温时间;根据每个所述周期序数、所述周期以及所述升温时间确定所述时间值的取值范围,在所述取值范围内获得至少一组所述时间值。
优选的,获得一组周期序数的步骤包括:获取零至所述周期个数上限之间所有自然数。
优选的,根据所述周期与所述占空比获得升温时间的步骤包括:根据以下等式获得所述升温时间
Ton=δ*T
其中,
Figure BDA0001829342720000032
Ton为升温时间、δ为占空比,T为周期,f为信号频率。
优选的,根据每个所述周期序数、所述周期以及所述升温时间确定所述时间值的取值范围的步骤包括:根据以下不等式获得所述时间值的取值范围
(N-1)*T<t<(N-1)*T+Ton
其中,N为周期序数。
优选的,在所述取值范围内获得一组所述时间值的步骤包括:在每个所述周期序数对应的取值范围内等间抽取预设个数的时间值。
优选的,所述预设个数包括10。
优选的,根据所述参数、所述瞬态热阻抗的表达式以及所述时间值获得相应的所述半导体器件的温度值的步骤包括:根据以下等式获得相应的所述半导体器件的温度值
Tup,N=Pav*Zjc(t-(N-1)*T)+Tc
Tdown,N=Tup,N-Pav*Zjc(t-((N-1)*T+Ton))
其中,Tup表示升温阶段的温度,Tdown表示降温阶段的温度,Pav表示峰值损耗,Tc表示外壳温度。
优选的,所述参数包括预存参数和用户输入参数,所述预存参数包括所述多个分层的尺寸、所述多个分层尺寸的导热系数、所述多个分层的密度以及所述多个分层的定压比热容中的至少一种,所述用户输入参数包括额定电压、输入波形、封装类型、芯片尺寸、信号频率、占空比、周期个数上限、峰值损耗以及外壳温度中的至少一种;获取所述半导体器件的参数的步骤包括:建立预存参数与用户输入参数的查找表;当接收到第一指令后,接收用户输入参数,并根据查找表获得与接收到的用户输入参数相对应的预存参数;当接收到第二指令后,完成获取。
优选的,还包括:将与每个获得的所述半导体器件的温度值与时间值以曲线图的形式输出。
优选的,所述查找表基于excel平台构建。
根据本发明的另一方面,提供一种计算半导体器件的温度值与时间值关系的装置,包括:参数获取模块,用于获取所述半导体器件的参数;时间获取模块,用于根据所述参数获得至少一组时间值;表达式获取模块,用于根据所述参数获得所述半导体器件的瞬态热阻抗的表达式,所述瞬态热阻抗的表达式是所述时间值的函数;结果计算模块,用于根据所述参数、所述瞬态热阻抗的表达式以及所述时间值获得相应的所述半导体器件的温度值。
优选的,所述参数包括信号频率、占空比以及周期个数上限,所述时间获取模块包括:周期获取模块,用于根据所述信号频率获得周期;周期序数获取模块,用于根据所述周期个数上限获得一组周期序数;升温时间获取模块,用于根据所述周期与所述占空比获得升温时间;取值范围获取模块,用于根据每个所述周期序数、所述周期以及所述升温时间确定所述时间值的取值范围;以及时间值获取模块,用于在所述取值范围内获得一组时间值。
优选的,所述半导体器件包括多个分层,表达式获取模块包括:热阻热容获取模块,用于基于热扩散角原理根据所述参数计算所述多个分层的热阻与所述多个分层的热容;以及瞬态热阻抗表达式获取模块,用于根据所述多个分层的热阻与所述多个分层的热容获得所述半导体器件的瞬态热阻抗的表达式。
优选的,所述参数包括预存参数和用户输入参数,所述预存参数包括所述多个分层的尺寸、所述多个分层尺寸的导热系数、所述多个分层的密度以及所述多个分层的定压比热容中的至少一种,所述用户输入参数包括额定电压、输入波形、封装类型、芯片尺寸、信号频率、占空比、周期个数上限、峰值损耗以及外壳温度中的至少一种,所述参数获取模块包括:交互模块,用于接收所述用户输入参数;以及查找模块,用于根据预先建立的查找表与用户输入参数来获得所述预存参数。
优选的,所述查找表基于excel平台构建。
本发明的有益效果是,提供了一种计算半导体器件的温度值与时间值的方法和装置,该装置通过获取半导体器件的参数,并根据参数进行温度值与时间值曲线的计算,该装置能够在获得用户输入参数之后,进行查表以及自动计算温度值与时间值的关系曲线,从而简化了操作流程,提高了工作效率。
附图说明
为了更清楚地说明本公开实施例的技术方案,下面将对实施例的附图作简单介绍,显而易见地,下面的描述中的附图仅涉及本公开的一些实施例,而非对本公开的限制。
图1示出了根据本公开实施例的半导体器件的结构示意图。
图2示出了根据本公开实施例的计算半导体器件的温度值与时间值的方法的总流程示意图。
图3A至图3D示出了图2中总流程示意图的各部分具体步骤的流程示意图。
图4A至图4D示出了根据本公开实施例的查找表的示例。
图5A和5B示出了根据本公开实施例的用户界面的示例。
图5C示出了根据本公开实施例的瞬态热阻抗的仿真结果对比示意图。
图5D示出了根据本公开实施例的方波脉冲功耗示意图。
图6A和图6B分别示出了根据本公开实施例的半导体器件在长和宽方向上的截面图。
图7示出了根据本公开实施例的计算半导体器件的温度值与时间值的装置的结构示意图。
具体实施方式
为使本公开实施例的目的、技术方案和优点更加清楚,下面将结合本公开实施例的附图,对本公开实施例的技术方案进行清楚、完整的描述。显然所描述的实施例是本公开的一部分实施例,而不是全部的实施例。基于所描述的本公开的实施例,本领域普通技术人员在无需创造性劳动的前提下所获得的所有其他实施例,都属于本公开保护的范围。
图1示出了根据本公开实施例的半导体器件的结构示意图。
如图1所示,在本实施例中,其可以具有三层结构,即,从上到下依次为芯片1、焊料层2、铜基板3,铜基板3下方可以是导热硅脂层与热沉(图中未示出)。然而以上仅仅是半导体器件的一个示例结构,本公开实施例的半导体器件不限于此,半导体器件可以根据不同需要而具有各种不同的结构。
图2示出了根据本公开实施例的计算半导体器件的温度值与时间值的方法的总流程示意图。
如图2所示,半导体器件可以具有多个分层,其中一个分层是芯片。根据芯片封装类型的不同,分层结构有所不同,例如可以具有图1所示的分层结构,当然也可以具有其他任何期望的结构。
在步骤S100,获取半导体器件的参数。其中,参数包括预存参数和用户输入参数。
如图3A所示,可以通过以下步骤S101至S105来获取半导体器件的参数。
在步骤S101,建立查找表。查找表可以由用户手动建立。查找表包括预存参数与用户输入参数的对照表,预存参数包括:至少一种封装类型相对应的多个分层的导热系数、定压比热容、密度、厚度以及尺寸等物理参数。用户输入参数的对照表包括:至少一种封装类型、至少一种额定电压以及至少一种输入波形。
图4A至图4D示出了根据本公开实施例的查找表的示例。在图4A至4D的实施例中,查找表是基于excel平台构建的。当然本公开的实施例不限于此,也可以根据需要基于任何其他平台来构建查找表。如图4A至4D所示,以图1所示的封装类型为例,该封装类型下的分层结构为3层,分别是芯片1、焊料层2、铜基板3,铜基板3下方可以是导热硅脂层与热沉。查找表分为四部分,在本实施例中依次命名为“TO的热物理参数”、“额定电压”、“TO的宽度尺寸”和“TO的长度尺寸”。
如图4A所示,“TO的热物理参数”部分包括每个分层的导热系数K、定压比热容Cp、密度density以及厚度,在本实施例中导热系数K以瓦/米·度为单位,厚度以毫米为单位。在图4A中仅示出了针对图1所示封装类型的各分层的热物理参数,然而本实施例不限于此,可以根据需要填写针对其他封装类型的各分层热物理参数,例如可以在图4A的G、H、I、J、K栏填入针对另一种封装类型的各分层热物理参数,以此类推。在一些优选实施例中,对于两种封装类型相同分层的导热系数,可以不再重复设定,因为相同材料的导热系数是相同的,而厚度可以根据需要来重新设定。
如图4B所示,“额定电压”部分包括至少一个额定电压和至少一个输入波形,这两栏是可选的,用于在用户后续输入额定电压与输入波形时为用户提供可选项,以方便用户选择。如果没有该部分数据,可以在用户界面上令用户手动输入期望的额定电压值与输入波形。在图4B的示例中仅示出了三个可供选择的额定电压与输入波形,然而本公开的实施例不限于此,可以根据需要提供任意可供选择的额定电压与输入波形。例如可以在图4B的数据列下方继续填写其他可供选择的额定电压值与输入波形。
如图4C所示,“TO的宽度尺寸”部分包括每个分层在第一方向上的长度,在本实施例中长度可以以毫米为单位。图4C仅示出了针对图1所示封装类型的各分层在第一方向上的长度,然而本公开的实施例不限于此,可以根据需要填写针对其他封装类型的各分层在第一方向上的长度,例如可以在图4C的D、E栏填入针对另一种封装类型的各分层在第一方向上的长度。
如图4D所示,“TO的长度尺寸”部分包括每个分层在第二方向上的长度,在本实施例中长度可以以毫米为单位。图4D仅示出了针对图1所示封装类型的各分层在第二方向上的长度,然而本公开的实施例不限于此,可以根据需要填写针对其他封装类型的各分层在第二方向上的长度,例如可以在图4D的D、E栏填入针对另一种封装类型的各分层在第二方向上的长度。
在一些实施例中,以上的“第一方向上的长度”和“第二方向上的长度”可以是指矩形分层的宽和长。当然本公开实施例不限于此,第一方向和第二方向可以根据需要任意设置,只要能够体现分层尺寸即可。
在步骤S102,接收启动指令(第一指令)。根据查找表获得预存参数。
图5A和5B示出了根据本公开实施例的用户界面的示例。
图5A是包括图4A查找表名为“TO的热物理参数”的用户界面,图5B是命名为“TO瞬态温升曲线”的用户界面。
在如图5A的用户界面中,用户可点击“运行瞬态温升程序”按键500,使用户界面接收运行计算程序的启动指令。
在步骤S103,接收启动指令后,向用户呈现具有参数输入窗口的交互界面。图5A示出了根据本公开实施例的交互界面的示例。如图5A所示,参数输入窗口具有输入栏501、502、503、504、505、506、507、508和选项栏509、510、511。参数输入窗口用于接收参数当中的用户输入参数,输入栏501、502、503分别用于用户输入芯片的长、宽和厚度;输入栏504、505、506、507、508分别用于用户输入半导体器件的信号频率、占空比、周期个数(上限)、峰值损耗以及外壳温度;选项栏509用于用户选择期望的芯片封装类型;选项栏510用于用户选择期望的芯片额定电压;选项栏511用于用户选择期望的输入波形。
在步骤S104,接收用户输入参数,在此过程中,用户可按照参数输入窗口的文字提示手动输入或选择参数。
在步骤S105,接收运行计算温度值与时间值的曲线指令(第二指令)。当用户在如图5A所示的交互界面点击“计算瞬态升温曲线”按键512之后,完成用户输入参数获取。
在步骤S200,根据参数获得半导体器件的瞬态热阻抗的表达式。
如图3B所示,可以通过以下步骤S201至S209来获得半导体器件的瞬态热阻抗的表达式。
在步骤S201,根据查找表获得对应封装类型芯片的多个分层的尺寸、导热系数、定压比热容以及密度。
在步骤S202,根据芯片的尺寸和额定电压确定芯片上热源的尺寸。例如可以根据芯片的额定电压将芯片的尺寸缩小预设的量作为热源的尺寸。具体缩小量可以根据需要来任意设置,例如可以根据芯片保护环的宽度来设置。
在步骤S203,从第一层开始,根据分层的尺寸和导热系数以及热源的尺寸计算每个分层的热扩散角。例如,i初始为1,根据以下等式(1)和(2)计算第i分层的热扩散角:
Figure BDA0001829342720000091
Figure BDA0001829342720000101
其中,i表示第i分层,x和y分别表示分层中的第一方向和第二方向(在本实施例中,可以是分层的长和宽的方向),
Figure BDA0001829342720000102
k表示分层的导热系数,l表示热源长度的二分之一,L表示分层的长度的二分之一,w表示分层厚度。
在步骤S204,判断基板和热源是否均为正方形,即是否满足lxi=lyi并且Lix=Lyi,如果是则执行步骤S205,否则执行步骤S206,其中,基板包括:芯片、焊料以及铜基板三层分层。
在步骤S205,当lxi=lyi并且Lxi=Lyi时,通过以下等式(3)计算第i分层的热阻Ri
Figure BDA0001829342720000103
通过以下等式(4)、(5)计算第i分层的热容Ci
Ci=Vii*Cpi (4)
Figure BDA0001829342720000104
其中αi=αxi=αyi,li=lxi=lyi;αi表示在第i层分层中,热扩散角的角度,αxi表示在第i层分层中,热扩散角在第一方向上的分量,αyi表示在第i层分层中,热扩散角在第二方向上的分量,li表示在第i层分层中,热源长度的二分之一,lxi表示在第i层分层中,热源长度的二分之一在第一方向上的分量,lyi表示在第i层分层中,热源长度的二分之一在第二方向上的分量,C表示分层的热容,V表示分层的热流体积,ρ表示分层材料的密度,Cp表示分层的定压比热容。
在步骤S206,当lxi≠lyi和/或Lxi≠Lyi时通过以下等式(6)计算第i分层的热阻Ri
Figure BDA0001829342720000111
通过以下等式(4)、(7)计算第i分层的热容Ci
Ci=Vii*Cpi (4)
Figure BDA0001829342720000112
其中,
Figure BDA0001829342720000113
αi表示在第i层分层中,热扩散角的角度,αxi表示在第i层分层中,热扩散角在第一方向上的分量,αyi表示在第i层分层中,热扩散角在第二方向上的分量,lxi表示在第i层分层中,热源长度的二分之一在第一方向上的分量,lyi表示在第i层分层中,热源长度的二分之一在第二方向上的分量,C表示分层的热容,V表示分层的热流体积,ρ表示分层材料的密度,Cp表示分层的定压比热容。
在步骤S207,进行至下一分层,即i=i+1。
在步骤S208,判断是否完成了最后一个分层的热阻计算,即,是否满足i>M,其中M表示分层的总数,例如对于图1的结构,M=3。如果是则执行步骤S209计算瞬态热阻抗,否则返回步骤S204继续计算下一分层的热阻和热容。
在步骤S209,根据以下等式(8)、(9)、(10)、(11)、(12)获得所述瞬态热阻抗的表达式
Figure BDA0001829342720000114
τ1=C1*R1+C2*R2+C1*R2 (9)
τ2=C3*R3 (10)
a1=R1+R2 (11)
a2=R3 (12)
t为时间值,C1为第一分层(芯片)的热容,R1为第一分层的热阻,C2为第二分层(焊料)的热容,R2为第二分层的热阻,C3为第三分层(铜基板)的热容,R3为第三分层的热阻。
通过等式(8)至(12)计算一种含有两个时间常数的瞬态热阻抗Zjc(t),瞬态热阻抗Zjc(t)与美国ANSYS(有限元分析)软件的计算结果对比如图5C所示,可以发现,两者的吻合良好。
下面参考图6A和图6B的示例对步骤S200进行详细说明。图6A和图6B分别示出了根据本公开实施例的半导体器件在长和宽方向上的截面图。如图6A和6B所示,半导体器件包括两个分层601和602,其中分层601为芯片,其上具有热源603。分层601的导热系数为k1,厚度为w1,第一方向上长度为2Lx1,第二方向上长度为2Ly1。分层602的导热系数为k2,厚度为w2,第一方向上长度为2Lx2,第二方向上长度为2Ly2。热源603的第一方向上长度2lx,第二方向上长度为2ly。在本实施例中,假设x和y分别表示矩形的长和宽的方向。
首先可以根据芯片601的额定电压的大小来将芯片601的长Lx1和宽Ly1均缩小预定的值,将得到的结果作为热源603的长lx和宽ly。具体缩小量可以根据需要来任意设置,例如可以根据芯片保护环的宽度来设置。然后通过以上等式(1)和(2)计算芯片601的热扩散角αx1、αy1和芯片601下方分层602的热扩散角αx2、αy2。判断芯片601和热源603是否均为正方形,即,是否满足Lx1=Ly1并且lx=ly,如果是,则利用上述等式(3)来计算芯片601及其下方分层602的热阻R1、R2,利用上述等式(4)、(5)来计算芯片601及其下方分层602的热容C1、C2,否则利用上述等式(6)来计算芯片601及其下方分层602的热阻R1、R2,利用上述等式(4)、(7)来计算芯片601及其下方分层602的热容C1、C2
在步骤S300,根据参数获得至少一组时间值。
如图3C所示,可以通过以下步骤S301至S306来获得时间值。
在步骤S301,根据用户输入参数获得对应封装类型的占空比、信号频率以及周期个数上限。
在步骤S302,根据以下等式(13)获得周期T:
Figure BDA0001829342720000121
f为信号频率。
在步骤S303,根据参数获得一组周期序数。获取零至周期个数上限之间所有自然数。如图5A所示,在本实施例中,用户输入的周期个数上限为25,因此,该组周期序数为包括25在内的0至25之间所有自然数。
在步骤S304,根据以下等式(14)获得升温时间Ton
Ton=δ*T (14)
δ为占空比,Ton为一个周期T内有脉冲功耗的时间段,Ton对应着结温的升温阶段,而周期T内无脉冲功耗的时间段,对应着结温降温阶段。
在步骤S305,根据以下不等式(15)获得时间值的取值范围:
(N-1)*T<t<(N-1)*T+Ton (15)
N为周期序数。
在步骤S306,根据时间值的取值范围获得一组时间值。
在每个周期序数N对应的取值范围内等间抽取10个时间值。如图5A所示,在本实施例中,信号频率200、占空比为0.5,因此,周期T为0.005,升温时间Ton为0.0025。以周期序数N=1为例,如图5B所示,时间值t的取值范围是0至0.00025,在此范围内等间抽取10个时间值依次为0.00025、0.0005、0.00075、0.001、0.00125、0.0015、0.00175、0.00225、0.0025,计算所有的周期序数N对应的时间值,获得一组时间值,并在A栏显示。
在步骤S400,根据参数、瞬态热阻抗的表达式以及时间值获得相应的半导体器件的温度值。
如图3D所示,可以通过以下步骤S401至S403来获得温度值。
在步骤S401,根据用户输入参数获得对应封装类型的峰值损耗与外壳温度。
在步骤S402,根据以下等式(16)、(17)获得半导体器件的温度值:
Tup,N=Pav*Zjc(t-(N-1)*T)+Tc (16)
Tdown,N=Tup,N-Pav*Zjc(t-((N-1)*T+Ton)) (17)
其中,Tup表示升温阶段的温度,Tdown表示降温阶段的温度,Tc表示外壳温度,N表示周期序数,T表示周期,Zjc表示瞬态热阻抗,t表示时间值,如图5D所示为方波的脉冲功耗。如图5B所示,在本实施例中,输入波形仅以方波为例,Pav表示峰值损耗。带入在步骤S306获得的一组时间值,计算出对应的温度值,并在B栏显示。
在步骤S403,输出温度值与时间值的曲线关系,其中,输出界面中显示的内容可以根据需要来设置,在一些实施例中,该输出界面可以仅显示如图5B所示的弹出窗口50来呈现结果曲线(瞬态温升曲线)。
图7示出了根据本公开实施例的计算半导体器件的温度值与时间值的装置的结构示意图。
半导体器件可以具有多个分层,其中一个分层是芯片。根据芯片封装类型的不同,分层结构有所不同,例如可以具有如图1所示的分层结构,当然也可以具有其他任何期望的结构。如图7所示,计算半导体器件的温度值与时间值的装置包括:参数获取模块710、表达式获取模块720、时间获取模块730以及结果计算模块740。
参数获取模块710用于获取半导体器件的参数,其中,参数包括预存参数和用户输入参数,预存参数包括至少一种封装类型相对应的多个分层的导热系数、定压比热容、密度、厚度以及尺寸等物理参数。用户输入参数的包括:芯片的长、宽和厚度、半导体器件的信号频率、占空比、周期个数(上限)、峰值损耗、外壳温度、封装类型、额定电压以及输入波形。参数获取模块710包括:交互模块711与查找模块712。
交互模块711用于接收用户输入参数,查找模块712用于根据预先建立的基于数据管理平台(例如excel)构建的查找表与用户输入参数来获得预存参数。
表达式获取模块720用于根据参数获得半导体器件的瞬态热阻抗的表达式,瞬态热阻抗的表达式是时间值的函数。表达式获取模块730包括:热阻热容获取模块721与瞬态热阻抗的表达式获取模块722。
热阻热容获取模块721利用等式(1)、(2)、(3)、(4)、(5)、(6)、(7),基于热扩散角原理根据参数计算多个分层的热阻与多个分层的热容。瞬态热阻抗表达式获取模块722利用等式(8)、(9)、(10)、(11)、(12),根据多个分层的热阻与多个分层的热容获得半导体器件的瞬态热阻抗的表达式。
时间获取模块730用于根据参数获得至少一组时间值。时间获取模块730包括:周期获取模块731、周期序数获取模块732、升温时间获取模块733、取值范围获取模块734以及时间值获取模块735。
周期获取模块731用于根据信号频率与等式(13)获得周期。周期序数获取模块732用于根据周期个数上限获得一组周期序数。升温时间获取模块733用于根据周期、占空比以及等式(14)获得升温时间。取值范围获取模块734用于根据每个周期序数、周期、升温时间以及不等式(15)确定时间值的取值范围。时间值获取模块735用于在取值范围内获得一组时间值。
结果计算模块740,利用等式(16)、(17),根据参数、瞬态热阻抗的表达式以及时间值获得相应的半导体器件的温度值。需要说明的是等式(16)、(17)是根据参数中的输入波形确定的,不同的输入波形对应不同的导通功耗计算等式与开关功耗计算等式,在本实施例中,仅以输入波形是方波为例。
在一些优选实施例中,半导体器件为IGBT分立器件。
本公开的实施例通过获取半导体器件的参数,并基于参数进行温度值与时间值的关系曲线的计算,能够在用户输入了参数之后自动计算温度值与时间值的关系曲线,简化了操作流程,提高了工作效率。
本公开的实施例通过基于数据管理平台(例如excel)预先建立参数查找表,使得用户在通过用户界面输入了期望的芯片参数之后,后台能够自动计算出温度值与时间值的关系曲线,并通过用户界面呈现给用户,在大大提高工作效率的同时,给用户带来更简便、直观的操作体验。
以上所述仅为本公开的优选实施例,并不用于限制本公开,对于本领域技术人员而言,本公开可以有各种改动和变化。凡在本公开的精神和原理之内所作的任何修改、等同替换、改进等,均应包含在本公开的保护范围之内。

Claims (23)

1.一种计算半导体器件的温度值与时间值关系的方法,包括:
获取半导体器件的参数;
根据所述参数获得至少一组时间值;
根据所述参数获得所述半导体器件的瞬态热阻抗的表达式;以及
根据所述参数、所述瞬态热阻抗的表达式以及所述时间值获得相应的所述半导体器件的温度值;
其中,所述半导体器件的温度值根据以下等式获得:
Tup,N=Pav*Zjc(t-(N-1)*T)+Tc
Tdown,N=Tup,N-Pav*Zjc(t-((N-1)*T+Ton))
其中,Tup表示升温阶段的温度,Tdown表示降温阶段的温度,Pav表示峰值损耗,Tc表示外壳温度,Zjc表示瞬态热阻抗,t为时间值,N表示周期序数,T为周期,Ton表示升温时间。
2.根据权利要求1所述的计算半导体器件的温度值与时间值关系的方法,其中,所述半导体器件包括多个分层,获得所述半导体器件的瞬态热阻抗的表达式的步骤包括:
基于热扩散角原理根据所述参数计算所述多个分层的热阻与所述多个分层的热容;以及
根据所述多个分层的热阻与所述多个分层的热容获得所述瞬态热阻抗的表达式。
3.根据权利要求2所述的计算半导体器件的温度值与时间值关系的方法,其中,所述参数包括每个分层的尺寸、导热系数、定压比热容以及密度,所述分层之一为芯片,所述芯片的参数还包括所述芯片的额定电压。
4.根据权利要求3所述的计算半导体器件的温度值与时间值关系的方法,其中,计算所述多个分层的热容的步骤包括:
根据所述芯片的尺寸和额定电压确定所述芯片上的热源的尺寸;
根据所述每个分层的尺寸、导热系数以及所述热源的尺寸计算所述每个分层的热扩散角;以及
根据所述每个分层的尺寸、热扩散角、定压比热容以及密度计算每个分层的热容。
5.根据权利要求4所述的计算半导体器件的温度值与时间值关系的方法,其中,计算每个分层的热容的步骤包括:根据以下等式计算每个分层的热容
Ci=Vii*Cpi
其中,i表示第i分层,C表示分层的热容,ρ表示分层的密度,V表示分层的热流体积,Cp表示分层的定压比热容。
6.根据权利要求5所述的计算半导体器件的温度值与时间值关系的方法,其中,当lxi=lyi并且Lxi=Lyi时,通过以下等式计算分层的热流体积
Figure FDA0003954226620000021
其中,li=lxi=lyi,αi=αxi=αyi,l表示热源长度的二分之一,L表示分层的长度的二分之一,w表示分层厚度,α表示分层的热扩散角,x和y分别表示分层中的第一方向和第二方向。
7.根据权利要求5所述的计算半导体器件的温度值与时间值关系的方法,其中,当lxi≠lyi和/或Lxi≠Lyi时,通过以下等式计算分层的热流体积
Figure FDA0003954226620000022
其中,l表示热源长度的二分之一,L表示分层的长度的二分之一,w表示分层厚度,α表示分层的热扩散角,x和y分别表示分层中的第一方向和第二方向。
8.根据权利要求2所述的计算半导体器件的温度值与时间值关系的方法,其中,所述分层包括3层,获得所述瞬态热阻抗的表达式的步骤包括:根据以下等式获得所述瞬态热阻抗的表达式
Figure FDA0003954226620000023
其中,τ1=C1*R1+C2*R2+C1*R2,τ2=C3*R3,a1=R1+R2,a2=R3,t为时间值,C1为第一分层的热容,R1为第一分层的热阻,C2为第二分层的热容,R2为第二分层的热阻,C3为第三分层的热容,R3为第三分层的热阻。
9.根据权利要求8所述的计算半导体器件的温度值与时间值关系的方法,其中,所述参数还包括:输入波形、信号频率、占空比、周期个数上限、峰值损耗以及外壳温度,
其中,所述输入波形为方波。
10.根据权利要求9所述的计算半导体器件的温度值与时间值关系的方法,其中,获得至少一组时间值的步骤包括:
根据所述信号频率获得周期;
根据所述周期个数上限获得一组周期序数;
根据所述周期与所述占空比获得升温时间;
根据每个所述周期序数、所述周期以及所述升温时间确定所述时间值的取值范围,
在所述取值范围内获得至少一组所述时间值。
11.根据权利要求10所述的计算半导体器件的温度值与时间值关系的方法,其中,获得一组周期序数的步骤包括:获取零至所述周期个数上限之间所有自然数。
12.根据权利要求10所述的计算半导体器件的温度值与时间值关系的方法,其中,根据所述周期与所述占空比获得升温时间的步骤包括:根据以下等式获得所述升温时间
Ton=δ*T
其中,
Figure FDA0003954226620000031
Ton为升温时间、δ为占空比,T为周期,f为信号频率。
13.根据权利要求12所述的计算半导体器件的温度值与时间值关系的方法,其中,根据每个所述周期序数、所述周期以及所述升温时间确定所述时间值的取值范围的步骤包括:根据以下不等式获得所述时间值的取值范围
(N-1)*T<t<(N-1)*T+Ton
其中,N为周期序数。
14.根据权利要求10所述的计算半导体器件的温度值与时间值关系的方法,其中,在所述取值范围内获得一组所述时间值的步骤包括:在每个所述周期序数对应的取值范围内等间抽取预设个数的时间值。
15.根据权利要求14所述的计算半导体器件的温度值与时间值关系的方法,其中,所述预设个数包括10。
16.根据权利要求2所述的计算半导体器件的温度值与时间值关系的方法,其中,所述参数包括预存参数和用户输入参数,所述预存参数包括所述多个分层的尺寸、所述多个分层尺寸的导热系数、所述多个分层的密度以及所述多个分层的定压比热容中的至少一种,所述用户输入参数包括额定电压、输入波形、封装类型、芯片尺寸、信号频率、占空比、周期个数上限、峰值损耗以及外壳温度中的至少一种;
获取所述半导体器件的参数的步骤包括:
建立预存参数与用户输入参数的查找表;
当接收到第一指令后,接收用户输入参数,并根据查找表获得与接收到的用户输入参数相对应的预存参数;
当接收到第二指令后,完成获取。
17.根据权利要求16所述的计算半导体器件的温度值与时间值关系的方法,还包括:将与每个获得的所述半导体器件的温度值与时间值以曲线图的形式输出。
18.根据权利要求16所述的计算半导体器件的温度值与时间值关系的方法,其中,所述查找表基于excel平台构建。
19.一种计算半导体器件的温度值与时间值关系的装置,包括:
参数获取模块,用于获取所述半导体器件的参数;
时间获取模块,用于根据所述参数获得至少一组时间值;
表达式获取模块,用于根据所述参数获得所述半导体器件的瞬态热阻抗的表达式,所述瞬态热阻抗的表达式是所述时间值的函数;
结果计算模块,用于根据所述参数、所述瞬态热阻抗的表达式以及所述时间值获得相应的所述半导体器件的温度值;
其中,所述半导体器件的温度值根据以下等式获得:
Tup,N=Pav*Zjc(t-(N-1)*T)+Tc
Tdown,N=Tup,N-Pav*Zjc(t-((N-1)*T+Ton))
其中,Tup表示升温阶段的温度,Tdown表示降温阶段的温度,Pav表示峰值损耗,Tc表示外壳温度,Zjc表示瞬态热阻抗,t为时间值,N表示周期序数,T为周期,Ton表示升温时间。
20.根据权利要求19所述的计算半导体器件的温度值与时间值关系的装置,其中,所述参数包括信号频率、占空比以及周期个数上限,所述时间获取模块包括:
周期获取模块,用于根据所述信号频率获得周期;
周期序数获取模块,用于根据所述周期个数上限获得一组周期序数;
升温时间获取模块,用于根据所述周期与所述占空比获得升温时间;
取值范围获取模块,用于根据每个所述周期序数、所述周期以及所述升温时间确定所述时间值的取值范围;以及
时间值获取模块,用于在所述取值范围内获得一组时间值。
21.根据权利要求19所述的计算半导体器件的温度值与时间值关系的装置,其中,所述半导体器件包括多个分层,表达式获取模块包括:
热阻热容获取模块,用于基于热扩散角原理根据所述参数计算所述多个分层的热阻与所述多个分层的热容;以及
瞬态热阻抗表达式获取模块,用于根据所述多个分层的热阻与所述多个分层的热容获得所述半导体器件的瞬态热阻抗的表达式。
22.根据权利要求21所述的计算半导体器件的温度值与时间值关系的装置,其中,所述参数包括预存参数和用户输入参数,所述预存参数包括所述多个分层的尺寸、所述多个分层尺寸的导热系数、所述多个分层的密度以及所述多个分层的定压比热容中的至少一种,所述用户输入参数包括额定电压、输入波形、封装类型、芯片尺寸、信号频率、占空比、周期个数上限、峰值损耗以及外壳温度中的至少一种,所述参数获取模块包括:
交互模块,用于接收所述用户输入参数;以及
查找模块,用于根据预先建立的查找表与用户输入参数来获得所述预存参数。
23.根据权利要求22所述的计算半导体器件的温度值与时间值关系的装置,其中,所述查找表基于excel平台构建。
CN201811198183.7A 2018-10-15 2018-10-15 计算半导体器件的温度值与时间值关系的方法和装置 Active CN109583004B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201811198183.7A CN109583004B (zh) 2018-10-15 2018-10-15 计算半导体器件的温度值与时间值关系的方法和装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201811198183.7A CN109583004B (zh) 2018-10-15 2018-10-15 计算半导体器件的温度值与时间值关系的方法和装置

Publications (2)

Publication Number Publication Date
CN109583004A CN109583004A (zh) 2019-04-05
CN109583004B true CN109583004B (zh) 2022-12-30

Family

ID=65920021

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201811198183.7A Active CN109583004B (zh) 2018-10-15 2018-10-15 计算半导体器件的温度值与时间值关系的方法和装置

Country Status (1)

Country Link
CN (1) CN109583004B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111103319B (zh) * 2019-12-13 2022-01-28 河海大学 一种导热硅脂和散热风扇的健康状态监测方法
CN112966391B (zh) * 2021-03-24 2022-03-29 浙江大学 一种基于傅里叶解析扩散角的功率模块热阻抗建模方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8019580B1 (en) * 2007-04-12 2011-09-13 Gradient Design Automation Inc. Transient thermal analysis
JP2013084666A (ja) * 2011-10-06 2013-05-09 Mitsubishi Electric Corp 半導体素子の接合温度の推定方法、推定システムおよび推定プログラム
CN103852483A (zh) * 2012-12-04 2014-06-11 中国科学院微电子研究所 一种igbt结壳热阻的测量方法
CN107958100A (zh) * 2017-11-06 2018-04-24 杭州士兰集成电路有限公司 计算结壳稳态热阻的方法、装置和计算机可读介质
CN108345712A (zh) * 2018-01-10 2018-07-31 杭州士兰集成电路有限公司 计算半导体器件的频率与电流关系的方法和装置

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103472088B (zh) * 2013-08-13 2015-07-08 杭州远方光电信息股份有限公司 一种热阻分析方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8019580B1 (en) * 2007-04-12 2011-09-13 Gradient Design Automation Inc. Transient thermal analysis
JP2013084666A (ja) * 2011-10-06 2013-05-09 Mitsubishi Electric Corp 半導体素子の接合温度の推定方法、推定システムおよび推定プログラム
CN103852483A (zh) * 2012-12-04 2014-06-11 中国科学院微电子研究所 一种igbt结壳热阻的测量方法
CN107958100A (zh) * 2017-11-06 2018-04-24 杭州士兰集成电路有限公司 计算结壳稳态热阻的方法、装置和计算机可读介质
CN108345712A (zh) * 2018-01-10 2018-07-31 杭州士兰集成电路有限公司 计算半导体器件的频率与电流关系的方法和装置

Also Published As

Publication number Publication date
CN109583004A (zh) 2019-04-05

Similar Documents

Publication Publication Date Title
CN108345712B (zh) 计算半导体器件的频率与电流关系的方法和装置
CN109583004B (zh) 计算半导体器件的温度值与时间值关系的方法和装置
Murata et al. VLSI module placement based on rectangle-packing by the sequence-pair
Tang et al. Dynamic electrothermal model of paralleled IGBT modules with unbalanced stray parameters
US20110074719A1 (en) Gesture detecting method for touch panel
Takizawa et al. A comparative study based on patient-specific fluid-structure interaction modeling of cerebral aneurysms
US10970437B2 (en) Calculating and extracting Joule-heating and self-heat induced temperature on wire segments for chip reliability
d’Alessandro et al. Analysis of the UIS behavior of power devices by means of SPICE-based electrothermal simulations
JP2007065765A (ja) 半導体装置の設計方法、設計支援システム及びプログラム、並びに、半導体パッケージ
WO2024016875A1 (zh) 烹饪温度曲线的处理方法、装置和计算机设备
Wang et al. An analytical thermal model for three-dimensional integrated circuits with integrated micro-channel cooling
Hu et al. Rayleigh-Bénard convection of cold water near its density maximum in a cubical cavity
US20130227514A1 (en) Method of Generating RC Technology File
WO2024016887A1 (zh) 烹饪温度曲线的处理方法、装置和计算机设备
CN117368588A (zh) 一种电压相序一致性确定方法、装置、设备和存储介质
US7444275B2 (en) Multi-variable polynomial modeling techniques for use in integrated circuit design
CN107958100A (zh) 计算结壳稳态热阻的方法、装置和计算机可读介质
JP6266081B2 (ja) インバータスイッチング素子の温度推定のためのパラメータ決定装置
CN106992571A (zh) 一种半压电路保护方法及移动终端
Maggioni et al. Fast transient convolution-based thermal modeling methodology for including the package thermal impact in 3D ICs
Gavrilov et al. Methods of accelerated characterization of VLSI cell libraries with prescribed accuracy control
JP2009048505A (ja) 回路動作検証装置、回路動作検証方法、半導体集積回路の製造方法、制御プログラム、およびコンピュータ読み取り可能な可読記憶媒体
Pei et al. Three-dimensional paddle shift modeling for IC packaging
Maggioni et al. Convolution based compact thermal model for 3D-ICs: Methodology and accuracy analysis
Maggioni et al. 3D-convolution based fast transient thermal model for 3D integrated circuits: Methodology and applications

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant