CN109216168B - 图案化方法 - Google Patents

图案化方法 Download PDF

Info

Publication number
CN109216168B
CN109216168B CN201810082395.2A CN201810082395A CN109216168B CN 109216168 B CN109216168 B CN 109216168B CN 201810082395 A CN201810082395 A CN 201810082395A CN 109216168 B CN109216168 B CN 109216168B
Authority
CN
China
Prior art keywords
pattern
layer
mask
patterning
hard mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201810082395.2A
Other languages
English (en)
Other versions
CN109216168A (zh
Inventor
张峰溢
李甫哲
陈界得
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujian Jinhua Integrated Circuit Co Ltd
United Microelectronics Corp
Original Assignee
Fujian Jinhua Integrated Circuit Co Ltd
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujian Jinhua Integrated Circuit Co Ltd, United Microelectronics Corp filed Critical Fujian Jinhua Integrated Circuit Co Ltd
Publication of CN109216168A publication Critical patent/CN109216168A/zh
Application granted granted Critical
Publication of CN109216168B publication Critical patent/CN109216168B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • H10B12/0335Making a connection between the transistor and the capacitor, e.g. plug
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/09Manufacture or treatment with simultaneous manufacture of the peripheral circuit region and memory cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)
  • Semiconductor Memories (AREA)

Abstract

本发明公开了一种图案化方法,其包括:先在目标层上形成硬掩模层、下部图案转移层及上部图案转移层。再进行第一SARP制作工艺,将上部图案转移层图案化成上部图案掩模。进行第二SARP制作工艺,将下部图案转移层图案化成下部图案掩模。上部图案掩模及下部图案掩模定义孔图案。在孔图案中填充介电层。介电层及上部图案掩模被回蚀直到下部图案掩模被暴露。下部图案掩模被去除,形成岛状图案。再以岛状图案作为蚀刻硬掩模,将硬掩模层图案化成硬掩模图案。再以硬掩模图案作为蚀刻硬掩模,将目标层图案化成目标图案。

Description

图案化方法
技术领域
本发明涉及集成电路制造领域,更具体地说,本发明涉及用于在芯片上形成集成电路特征结构的图案化方法。
背景技术
随着技术的进步,集成电路(IC)的尺寸不断缩小。传统上,集成电路特征通过光刻制作工艺进行图案化。然而,目前的光刻制作工艺技术正面临其分辨率的极限。
随着半导体元件的集成度的增加,使用超过分辨率极限的光刻制作工艺可能难以形成超细图案。在这个技术领域中仍需要一种用于光刻制作工艺的分辨率增强方法,具有改进的可制造性。
发明内容
本发明的一个目的在于提供一种用于在芯片上形成具有改进的可制造性的集成电路特征的图案化方法。
本发明的一实施例公开了一种图案化方法。先提供一基板,其上具有目标层。在目标层上形成硬掩模层。在硬掩模层上形成下部图案转移层。在下部图案转移层上形成上部图案转移层。进行第一自对准反向图案化(SARP)制作工艺以将上部图案转移层图案化成下部图案转移层上的上部图案掩模。进行第二自对准反向图案化制作工艺以将下部图案转移层图案化成下部图案掩模。上部图案掩模及下部图案掩模一起定义孔图案的阵列。在孔图案阵列填充有机介电层。有机介电层及上部图案掩模被回蚀直到下部图案掩模被暴露。移除下部图案掩模,留下硬掩模层上剩余的有机介电层以形成岛状图案。以岛状图案作为蚀刻硬掩模,将硬掩模层图案化为硬掩模图案。以硬掩模图案作为蚀刻硬掩模,将目标层图案化为目标图案。
为让本发明上述目的、特征及优点能更明显易懂,下文特举优选实施方式,并配合所附的附图,作详细说明如下。然而优选实施方式与附图仅供参考与说明用,并非用来对本发明加以限制者。
附图说明
Figure BDA0001561389930000021
为本发明一实施例于在基底上形成半导体特征的方法的示意性俯视图;
Figure BDA0001561389930000022
分别为
Figure BDA0001561389930000023
的切线I-I’所视的示意性剖视图;
Figure BDA0001561389930000024
为第一自对准反向图案化(SARP)制作工艺中的步骤的示意图;
图20为一侧视图,例示出在第二自对准反向图案化(SARP)制作工艺中的中间掩模堆叠结构的示意图;
图21为一侧视图,例示出在第二自对准反向图案化(SARP)制作工艺中的掩模堆叠结构的示意图;
Figure BDA0001561389930000025
为用于在存储单元阵列区域中的存储节点接垫上形成存储节点开口的示例性方法的示意图;
Figure BDA0001561389930000026
为本发明实施例所绘示的存储单元阵列区域101中的存储节点接垫的各种形状示意图。
主要元件符号说明
10 基底
101 存储单元阵列区域
102 周边区域
110 层间介电层
112、114 接触元件
120 目标层
120a、120b 目标图案
130 硬掩模层
130a、130b 硬掩模图案
140 先进图案化膜
140a、140b 图案
150 抗反射层
160 下部图案转移层
160a 下部图案掩模
160b 第三周边掩模图案
161 孔图案
170 上部图案转移层
170a 上部图案掩模
170b 第一周边掩模图案
170c 第二周边掩模图案
180、380、480、580、680 有机介电层
190、390、490、590 底部抗反射涂层
200、400、500、600 光致抗蚀剂层
200a、400a、500a、600a 光致抗蚀剂图案
280 第一直线形结构图案
290、300 第一间隙壁
480 第二直线形结构图案
501、601 开口
680a、680b 岛状图案
710 蚀刻停止层
712 介电层
714 介电盖层
800 存储节点开口
L1 第一结构层
L2 第二结构层
L3 第三结构层
L4 第四结构层
P1、P2 间距
具体实施方式
以下本发明的详细描述已披露足够的细节以使本领域的技术人员能够实践本发明。以下阐述的实施例应被认为是说明性的而不是限制性的。对于本领域的普通技术人员而言显而易见的是,在不脱离本发明的精神和范围的情况下,可以进行形式及细节上的各种改变和修改。
在进一步的描述优选实施例之前,以下先针对全文中使用的特定用语进行说明。
用语“蚀刻”在本文中通常用来描述图案化材料的制作工艺,使得在蚀刻完成后的材料的至少一部分能被留下。例如,应该理解的是,蚀刻硅的方法通常包括在硅上面图案化一光致抗蚀剂层,然后从不被光致抗蚀剂层保护的区域去除硅。因此,在蚀刻过程完成,由光致抗蚀剂保护的区域的硅会留下。然而,在另一实例中,刻蚀也可以指不使用光致抗蚀剂的方法,但在蚀刻过程完成后仍留下至少一部分的材料。
上面的说明用来从区分“刻蚀”及“去除”。当“蚀刻”一材料,该材料的至少一部分在处理结束后被保留。与此相反,“去除”材料时,基本上所有的材料是在过程中除去。然而,在一些实施例中,“去除”被认为是一个广义的用语,可以包括刻蚀。
在下文中使用术语“形成”,“沉积”或术语“设置”来描述将材料层施加到基底的行为。这些术语旨在描述任何可行的层形成技术,包括但不限于热生长、溅射、蒸发、化学气相沉积、外延生长、电镀等。
根据各种实施例,例如,沉积可以利用任何合适的已知方式进行。例如,沉积可以包括任何生长、电镀或将材料转移到基底上。一些已知的技术包括物理气相沉积(PVD)、化学气相沉积(CVD),电化学沉积(ECD)、分子束外延(MBE)、原子层沉积(ALD)及等离子体加强化学气相沉积(PECVD)。
全文中所描述的“基底”、“半导体晶片”或“晶片”,最常见的应该是硅基底或硅晶片。然而,“基底”或“晶片”也可以是指任何半导体材料,例如锗、砷化镓、磷化铟等。在其它实施例的,“基底”或“晶片”可以是不导电的,例如玻璃或蓝宝石晶片。
本发明涉及用于在基底或芯片上形成诸如致密线路、空间、通孔或接垫等半导体特征的图案化方法。在一例示性实施例中,如下面将更详细描述的,公开了一种用于在动态随机存取存储器(DRAM)元件的存储单元阵列区域中形成密集存储节点(SN)接垫的图案化方法。
请参考
Figure BDA0001561389930000041
Figure BDA0001561389930000042
Figure BDA0001561389930000043
例示本发明实施例于基底上形成半导体特征的方法的上视示意图。
Figure BDA0001561389930000044
是沿着
Figure BDA0001561389930000045
的切线I-I’所视的截面示意图。
首先,如图1A~图1B所示,提供一基底10。例如,基底10可以包括硅基底,但是不限于此。为简化说明,图中仅示出了存储单元阵列区域101的一部分及周边区域102的一部分。存储单元,例如DRAM存储单元,将形成在存储单元阵列区域101内。
根据本发明一实施例,基底10可以包括一层间介电层110以及层间介电层110中的接触元件112及114。接触元件112设置在存储单元阵列区域101中并且用作存储节点接触。接触元件114设置在周边区域102中,并且可以电耦合到晶体管的端子(例如,源极端子、漏极端子或栅极电极)。
根据本发明一实施例,层间介电层110可以包括诸如氧化硅或氮化硅的介电材料,但是不限于此。根据本发明一实施例,接触元件112及114可以包括金属,例如,钨。
根据本发明一实施例,在层间介电层110上提供一目标层120。目标层120将被图案化成存储单元阵列区域101中的密集存储节点接垫。目标层120可以包括金属,例如,钨。目标层120与接触元件112及114直接接触。目标层120与层间介电层110直接接触。根据本发明一实施例,目标层120可以全面沉积在层间介电层110的顶表面上。
根据本发明一实施例,硬掩模层130设置在目标层120上。例如,硬掩模层130可以包括氮化硅。根据本发明一实施例,先进图案化膜140可以设置在硬掩模层130上。根据本发明一实施例,先进图案化膜140可以包括非晶碳层。
抗反射层150可以设置在先进图案化膜140上。根据本发明一实施例,抗反射层150可以包括氮氧化硅(SiON)。
根据本发明一实施例,在抗反射层150上设置一下部图案转移层160。在下部图案转移层160上设置一上部图案转移层170。例如,下部图案转移层160可以包括多晶硅,上部图案转移层170可以包括氮化硅。
随后,如图2A、图2B、图3A及图3B所示,进行第一自对准反向图案化(SARP)制作工艺(或称为“反向自对准双重图案化”,“反向SADP”),在下图案转移层160上,将上部图案转移层170图案化成上部图案掩模170a。
例如,如图2A~图2B所示,形成第一结构层L1。第一结构层L1包括涂覆在上部图案转移层170上的有机介电层180。在有机介电层180上可以涂覆诸如含硅旋涂材料的底部抗反射涂(BARC)层190。再于底部抗反射涂层190上形成光致抗蚀剂层200。
之后,可以仅在存储单元阵列区域101内的底部抗反射涂层190上形成沿着参考y轴延伸并且具有间距P1的直线形状的光致抗蚀剂图案200a。
在第一自对准反向图案化(SARP)制作工艺之后,如图3A所示,所形成的上部图案掩模170a包括沿着参考y轴延伸并具有间距P2的直线形图案。根据本发明一实施例,间距P2小于间距P1。例如,间距P2是间距P1的一半。值得注意的是,上部图案掩模170a仅形成在存储单元阵列区域101内。周边区域102内的上部图案转移层170在此阶段未被图案化。
Figure BDA0001561389930000061
更详细地说明了上述第一自对准反向图案化(SARP)制作工艺。为简化说明,
Figure BDA0001561389930000062
中,省略了下部图案转移层160下方的基板及材料层。在图14中,如同先前于图2B所描述的,将有机介电层180涂覆到上部图案转移层170上。然后在有机介电层180上形成底部抗反射涂层190。之后,在底部抗反射涂层190上形成沿参考y轴方向延伸且间距为P1的直线形光致抗蚀剂图案200a。底部抗反射涂层190与有机介电层180共同称为第一结构层。
通过进行光刻制作工艺来形成直线形状的光致抗蚀剂图案200a,所述光刻制作工艺包括但不限于光致抗蚀剂涂覆、烘烤、曝光及显影。
随后,如图15所示,使用直线形状的光致抗蚀剂图案200a作为硬掩模,进行各向异性蚀刻制作工艺以蚀刻第一结构层,从而将第一结构层图案化为第一直线形结构图案280。
与直线形状的光致抗蚀剂图案200a对齐,第一直线形结构图案280也沿着参考y轴方向延伸并具有间距P1
如图16所示,第一直线形结构图案280的侧壁上分别形成第一间隙壁290。例如,第一间隙壁290可以包含氧化硅,但不限于此。为了形成第一间隙壁290,诸如氧化硅层的间隙壁材料层共形地沉积到图15中的第一直线形结构图案280上,再进行各向异性干蚀刻制作工艺,刻蚀间隙壁材料层。
如图17所示,去除剩余的第一直线形结构图案280,使第一间隙壁290保持完整。其中,剩余的第一直线形结构图案280可通过使用氧等离子体灰化制作工艺而移除,但不限于此。第一间隙壁290也是直线形并且具有缩减的间距P2
如图18所示,使用第一间隙壁290作为蚀刻硬掩模,进行各向异性干蚀刻制作工艺,以间距P2蚀刻上部图案转移层170。此时,上部图案转移层170被图案化成上部图案掩模170a。
如图19所示,在形成上部图案掩模170a之后,去除剩余的第一间隙壁300。
如图4A、图4B、图5A及图5B所示,进行第二自对准反向图案化(SARP)制作工艺,以将下部图案转移层160图案化成下部图案掩模160a。如图5A~图5B所示,上部图案掩模170a与下部图案掩模160a一起定义出孔图案161的阵列。
第二自对准反向图案化(SARP)制作工艺与图14~19所述的步骤类似。例如,先于上部图案掩模170a及下部图案转移层160暴露出的顶部表面上形成第二结构层L2。类似地,第二结构层L2可以包括在上部图案掩模170a上的有机介电层380、有机介电层380上的底部抗反射涂层390以及底部抗反射涂层390上的光致抗蚀剂层400。
之后,可以仅在存储单元阵列区域101内的底部抗反射涂层390上形成沿参考α方向延伸且间距P1的直线形光致抗蚀剂图案400a。根据本发明一实施例,参考α方向不垂直于参考y轴。
随后,如图20所示,进行光刻制作工艺及蚀刻制作工艺以将第二结构层L2图案化成沿着参考α方向延伸的第二直线形结构图案480。随后,类似于图16中所述的步骤,在第二直线形结构图案480的侧壁上分别形成第二间隙壁。随后,类似于图17中所述的步骤,去除第二直线形结构图案480。然后,类似于图18所述的步骤,使用第二间隙壁及上部图案掩模170a作为蚀刻硬掩模,蚀刻下部图案转移层160,从而形成下部图案掩模160a。然后去除第二间隙壁,类似于图19所述的步骤。
图21例示出第二自对准反向图案化(SARP)制作工艺中的掩模堆叠结构的透视图。为简化说明,省略了抗反射层150下面的基底及材料层。如图21所示,形成由下部图案掩模160a与上部图案掩模170a组成的掩模堆叠结构。上部图案掩模170a包括沿着参考y轴方向延伸的直线形图案,下部图案掩模160a是格子图案。从图5B可以看出,此时,当从上方观看时,每个孔图案161可以具有菱形形状。
Figure BDA0001561389930000071
例示在周边区域102中形成图案的步骤。如图6A~图6B所示,第三结构层L3形成在如图5A~图5B所示的第二自对准反向图案化(SARP)制作工艺中形成的掩模堆叠结构上。同样的,第三结构层L3可以包括在存储单元阵列区域101中的上部图案掩模170a上及在周边区域102中的上部图案转移层170上的有机介电层480、在有机介电层480上的底部抗反射涂层490及底部抗反射涂层490上的光致抗蚀剂层500。
通过进行光刻制作工艺,在周边区域102内形成光致抗蚀剂图案500a。光致抗蚀剂图案500a包括在周边区域102中定义一第一特征图案的开口501。
如图7A~图7B所示,使用光致抗蚀剂图案500a作为蚀刻硬掩模,进行各向异性干蚀刻制作工艺以蚀刻周边区域102中的抗反射涂层490、有机介电层480及上部图案转移层170,如此形成第一周边掩模图案170b。
如图8A~图8B所示,在图5A及图5B中第二自对准反向图案化(SARP)制作工艺中形成的掩模堆叠结构上以及在周边区域102中的第一周边掩模图案170b上形成一第四结构层L4。同样的,第四结构层L4可以包括在存储单元阵列区域101中的上部图案掩模170a上及在周边区域102中的第一周边掩模图案170b上的有机介电层580、有机介电层580上的底部抗反射涂层590及底部抗反射涂层590上的光致抗蚀剂层600。
通过进行光刻制作工艺,在周边区域102内形成光致抗蚀剂图案600a。光致抗蚀剂图案600a包括在周边区域102中定义一第二特征图案的开口601。当从上方观察时,第二特征图案可以接近定义于第一周边掩模图案170b中的第一特征图案。
如图9A~图9B所示,使用光致抗蚀剂图案600a作为蚀刻硬掩模,进行各向异性干蚀刻制作工艺以蚀刻周边区域102中的抗反射涂层590、有机介电层580及上部图案转移层170,从而在周边区域102中形成一第二周边掩模图案170c。然后,将第二周边掩模图案170c转移到周边区域102中的下部图案转移层160,从而在周边区域中形成第三周边掩模图案160b。之后,去除剩余的第四结构层L4以显露出存储单元阵列区域101中的掩模堆叠结构。
Figure BDA0001561389930000081
例示出了通过使用反转图案化方法(reverse tone patterningmethod)将存储单元阵列区域中的孔图案161的阵列及第三周边掩模图案160b转移到目标层120中的步骤。
如图10A~图10B所示,在存储单元阵列区域101中的孔图案161的阵列及周边区域102中的第三周边掩模图案160b所定义的开口中填充一有机介电层。有机介电层680、上部图案掩模170a及第二周边掩模图案170c被回蚀,直到存储单元阵列区域101中的下部图案掩模160a及第三周边掩模图案160b被暴露出来。
接着,如图11A~图11B所示,去除下部图案掩模160a及第三周边掩模图案160b,留下抗反射层150上剩余的有机介电层680以形成岛状图案680a及680b。
如图12A及图12B所示,使用岛状图案680a及680b作为蚀刻硬掩模,进行各向异性干蚀刻制作工艺,以将硬掩模层130图案化成硬掩模图案130a及130b。在各向异性干蚀刻制作工艺期间,先进图案化膜140也分别直接被蚀刻成硬掩模图案130a及130b上的图案140a及140b。
如图13A~图13B所示,使用硬掩模图案130a及130b作为蚀刻硬掩模,进行各向异性干蚀刻制作工艺以将目标层120图案化成存储单元阵列区域101中的目标图案120a及周边区域102中的目标图案120b。剩余的硬掩模图案130a及130b可以被去除。根据本发明一实施例,存储单元阵列区域101中的目标图案120a可以用作存储节点接垫。目标图案120a及120b直接形成在层间介电层110上,且目标图案120a及120b可以分别电耦合到接触元件112及114。
根据本发明另一实施例,剩余的硬掩模图案130a及130b可以留在半导体结构中。
Figure BDA0001561389930000091
例示出了用于在存储单元阵列区域中的存储节点接垫上形成存储节点开口的示例性方法。如图22所示,在存储单元阵列区域101中的存储节点接垫或目标图案120a的图案化之后,剩余的硬掩模图案130a不被去除。
随后,如图23所示,将诸如SiCN的蚀刻停止层710共形地沉积在目标图案120a及剩余的硬掩模图案130a上。然后在蚀刻停止层710上沉积诸如SiN的介电层712,并且在介电层712上沉积介电盖层714。
如图24所示,进行光刻制作工艺及干蚀刻制作工艺以蚀刻介电盖层714、介电层712、蚀刻停止层710及直接在存储单元阵列区域101的目标图案120a上剩余的硬掩模图案130a,由此形成存储节点开口800。
本发明的优点在于将直接在存储单元阵列区域101的目标图案120a上的剩余的硬掩模图案130a保留,因为这改善了形成存储节点开口800时的击穿余裕窗口(punchthrough window),并且还提高了存储节点底部应力稳定性。
Figure BDA0001561389930000101
为根据本发明实施例所绘示的存储单元阵列区域101中的存储节点接垫的各种形状示意图。如图25所示,当从上面看时,存储单元阵列区域101中的存储节点接垫或目标图案120a可以排列成六方堆积图案,并且每个存储节点接垫或目标图案120a可以具有菱形形状。
如图26所示,当从上面看时,存储单元阵列区域101中的存储节点接垫或目标图案120a可以排列成六方堆积图案,并且每个存储节点接垫或目标图案120a可以具有圆形形状。
如图27所示,当从上面看时,存储单元阵列区域101中的存储节点接垫或目标图案120a可以排列成六方堆积图案,并且每个存储节点接垫或目标图案120a可以具有椭圆形或椭圆形。
如图28所示,当从上面看时,存储单元阵列区域101中的存储节点接垫或目标图案120a可以排列成六方堆积图案,并且每个存储节点接垫或目标图案120a可以具有正方形形状。
如图29所示,当从上面看时,存储单元阵列区域101中的存储节点接垫或目标图案120a可以排列成六方堆积图案,并且每个存储节点接垫或目标图案120a可以是具有四个圆角的正方形形状。
以上所述仅为本发明之优选实施例,凡依本发明权利要求所做的均等变化与修饰,都应属本发明的涵盖范围。

Claims (13)

1.一种图案化方法,其特征在于,包含有:
提供一基底,其上具有层间介电层;目标层,位于该层间介电层上;硬掩模层,位于该目标层上;先进图案化膜,位于该硬掩模层上;下部图案转移层,位于该先进图案化膜上;及上部图案转移层,位于该下部图案转移层上,其中先进图案化膜由非晶碳层制成;
进行第一自对准反向图案化制作工艺,以将该上部图案转移层图案化成该下部图案转移层上的一上部图案掩模,其中该上部图案掩模包括沿着第一方向延伸的直线形结构图案;
进行第二自对准反向图案化制作工艺,以将该下部图案转移层图案化成一下部图案掩模,其中该下部图案掩模包括沿着第二方向延伸的直线形结构图案,其中该第二方向不垂直于该第一方向,其中该上部图案掩模及该下部图案掩模一起定义孔图案的阵列;
在该孔图案的阵列填充一有机介电层;
回蚀该有机介电层及该上部图案掩模,直到该下部图案掩模被暴露出来;
移除该下部图案掩模,留下该硬掩模层上剩余的该有机介电层以形成岛状图案;
以该岛状图案作为蚀刻硬掩模,将该硬掩模层图案化为硬掩模图案;及
以该硬掩模图案作为蚀刻硬掩模,将该目标层图案化为目标图案,其中每个所述目标图案的细长的顶部部分比其剩余的底部部分宽,以及层间介电层的顶部部分具有下沉中间部分。
2.如权利要求1所述的图案化方法,其中该下部图案转移层包含多晶硅。
3.如权利要求2所述的图案化方法,其中该上部图案转移层包含氮化硅。
4.如权利要求1所述的图案化方法,其中该硬掩模层包含氮化硅。
5.如权利要求1所述的图案化方法,其中该目标层包含钨。
6.如权利要求1所述的图案化方法,其中该第一自对准反向图案化制作工艺包含:
在该上部图案转移层上形成一第一结构层;
进行光刻及蚀刻制作工艺,将该第一结构层图案化成形成沿一第一方向延伸的第一直线形结构图案;
在该第一直线形结构图案的侧壁上形成第一间隙壁;
去除该第一直线形结构图案;
以该第一间隙壁作为蚀刻硬掩模,将该上部图案转移层图案化成上部图案掩模;及
去除该第一间隙壁。
7.如权利要求6所述的图案化方法,其中该第一结构层包含一有机介电材料。
8.如权利要求7所述的图案化方法,其中该第一间隙壁包含氧化硅。
9.如权利要求6所述的图案化方法,其中该第二自对准反向图案化制作工艺包含:
在该上部图案掩模上形成一第二结构层;
进行光刻及蚀刻制作工艺,将该第二结构层图案化成形成沿一第二方向延伸的第二直线形结构图案;
在该第二直线形结构图案的侧壁上形成第二间隙壁;
去除该第二直线形结构图案;
以该第二间隙壁及该上部图案掩模作为蚀刻硬掩模,蚀刻该下部图案转移层,如此形成下部图案掩模;及
去除该第二间隙壁。
10.如权利要求1所述的图案化方法,其中另包含:
在该先进图案化膜上提供一抗反射层。
11.如权利要求10所述的图案化方法,其中该抗反射层包含氮氧化硅。
12.如权利要求1所述的图案化方法,其中该基底包含层间介电层及该层间介电层中的接触元件。
13.如权利要求12所述的图案化方法,其中该目标图案直接形成在该层间介电层上,其中该目标图案分别电耦合到该接触元件。
CN201810082395.2A 2017-07-04 2018-01-29 图案化方法 Active CN109216168B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/641,235 US10361080B2 (en) 2017-07-04 2017-07-04 Patterning method
US15/641,235 2017-07-04

Publications (2)

Publication Number Publication Date
CN109216168A CN109216168A (zh) 2019-01-15
CN109216168B true CN109216168B (zh) 2021-02-26

Family

ID=64902913

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810082395.2A Active CN109216168B (zh) 2017-07-04 2018-01-29 图案化方法

Country Status (2)

Country Link
US (1) US10361080B2 (zh)
CN (1) CN109216168B (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109920730B (zh) * 2017-12-13 2021-04-20 联华电子股份有限公司 一种图案化方法
US11349753B2 (en) 2017-12-28 2022-05-31 Intel Corporation Converged routing for distributed computing systems
US10475648B1 (en) 2018-05-01 2019-11-12 United Microelectronics Corp. Method for patterning a semiconductor structure
CN110707044B (zh) * 2018-09-27 2022-03-29 联华电子股份有限公司 形成半导体装置布局的方法
KR20200105247A (ko) * 2019-02-28 2020-09-07 에스케이하이닉스 주식회사 패턴 형성 방법
CN112133625B (zh) * 2019-06-24 2024-05-21 长鑫存储技术有限公司 掩膜结构及其形成方法、存储器及其形成方法
KR20210001109A (ko) * 2019-06-26 2021-01-06 삼성전자주식회사 패턴 형성 방법과 집적회로 소자 및 그 제조 방법
CN113345800B (zh) * 2020-03-02 2022-09-09 长鑫存储技术有限公司 有源区阵列的形成方法及半导体结构

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7115525B2 (en) * 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7429536B2 (en) * 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
KR101435520B1 (ko) * 2008-08-11 2014-09-01 삼성전자주식회사 반도체 소자 및 반도체 소자의 패턴 형성 방법
CN104025294A (zh) * 2011-10-07 2014-09-03 英特尔公司 金属互连当中dram电容器的形成
KR101883380B1 (ko) 2011-12-26 2018-07-31 삼성전자주식회사 커패시터를 포함하는 반도체 소자
US20130200498A1 (en) 2012-02-03 2013-08-08 Applied Materials, Inc. Methods and apparatus for lithography using a resist array
KR102094476B1 (ko) 2013-08-27 2020-03-30 삼성전자주식회사 반도체 소자 및 반도체 소자의 제조 방법
US9318564B2 (en) 2014-05-19 2016-04-19 Qualcomm Incorporated High density static random access memory array having advanced metal patterning
JP2016033968A (ja) 2014-07-31 2016-03-10 マイクロン テクノロジー, インク. 半導体装置の製造方法

Also Published As

Publication number Publication date
US10361080B2 (en) 2019-07-23
US20190013201A1 (en) 2019-01-10
CN109216168A (zh) 2019-01-15

Similar Documents

Publication Publication Date Title
CN109216168B (zh) 图案化方法
TWI503863B (zh) 包含緊密間距接點的半導體結構及其形成方法
US8222140B2 (en) Pitch division patterning techniques
US10586709B2 (en) Methods of fabricating semiconductor devices
DE102018115204A1 (de) Strukturierungsverfahren für halbleiter-bauelemente und daraus resultierende strukturen
CN109920730B (zh) 一种图案化方法
US10923402B2 (en) Semiconductor device and method of manufacturing the same
US10763169B2 (en) Contact structure and associated method for flash memory
US20100244257A1 (en) Method of fabricating semiconductor device and the semiconductor device
CN114446769A (zh) 半导体器件的制备方法
US10991596B2 (en) Semiconductor structure and method for forming same
US20100001402A1 (en) Multiple Patterning Method
US20070123032A1 (en) Method for manufacturing a semiconductor device having a stepped through-hole
US11335568B2 (en) Method for forming semiconductor structure
US11121026B2 (en) Semiconductor device and method of manufacture
CN114334619A (zh) 半导体结构的形成方法
US20050280035A1 (en) Semiconductor device and method for fabricating the same
US7915160B1 (en) Methods for forming small contacts
CN109494149B (zh) 半导体结构的制作方法
CN111668093A (zh) 半导体器件及其形成方法
US11145760B2 (en) Structure having improved fin critical dimension control
CN115332060B (zh) 栅极结构的制作方法
CN112951726B (zh) 半导体结构及其形成方法
US20210193512A1 (en) Semiconductor Fabrication Method for Producing Nano-Scaled Electrically Conductive Lines
WO2022095609A1 (zh) 存储器的电容连接线的制作方法和存储器

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant