CN108848001A - 一种基于单向传输路径的片上网络测试封装 - Google Patents

一种基于单向传输路径的片上网络测试封装 Download PDF

Info

Publication number
CN108848001A
CN108848001A CN201810516625.1A CN201810516625A CN108848001A CN 108848001 A CN108848001 A CN 108848001A CN 201810516625 A CN201810516625 A CN 201810516625A CN 108848001 A CN108848001 A CN 108848001A
Authority
CN
China
Prior art keywords
test
transmission path
chip
network
way transmission
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201810516625.1A
Other languages
English (en)
Inventor
詹俊楷
黄乐天
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
University of Electronic Science and Technology of China
Original Assignee
University of Electronic Science and Technology of China
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by University of Electronic Science and Technology of China filed Critical University of Electronic Science and Technology of China
Priority to CN201810516625.1A priority Critical patent/CN108848001A/zh
Publication of CN108848001A publication Critical patent/CN108848001A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L43/00Arrangements for monitoring or testing data switching networks
    • H04L43/08Monitoring or testing based on specific metrics, e.g. QoS, energy consumption or environmental parameters
    • H04L43/0805Monitoring or testing based on specific metrics, e.g. QoS, energy consumption or environmental parameters by checking availability
    • H04L43/0817Monitoring or testing based on specific metrics, e.g. QoS, energy consumption or environmental parameters by checking availability by checking functioning
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L43/00Arrangements for monitoring or testing data switching networks
    • H04L43/50Testing arrangements
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L41/00Arrangements for maintenance, administration or management of data switching networks, e.g. of packet switching networks
    • H04L41/06Management of faults, events, alarms or notifications

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Signal Processing (AREA)
  • Environmental & Geological Engineering (AREA)
  • Data Exchanges In Wide-Area Networks (AREA)

Abstract

半导体制造工艺的进步和特征尺寸的缩小,使得片上多核系统的可靠性愈发重要。本发明是一种基于单向传输路径的片上网络测试封装。该测试封装以单向传输路径作为测试对象,并将其代替传统的路由器和链路结构作为片上网络的基本组成单元。单向传输路径定义为相邻片上网络资源节点之间的单向数据通路,以及与该单向数据通路功能耦合紧密的控制逻辑单元。基于该测试封装,能够对片上网络中空闲的单向路径进行在线测试,利用内建自测试检测固定型和桥接型等故障,并能够高效地将故障定位到某一条单向传输路径上,且该测试方式不会对系统中运行的应用产生负面影响。该发明可以有效地提高多核片上系统的可测性和可观测性,进而提高数字系统的可靠性。

Description

一种基于单向传输路径的片上网络测试封装
技术领域
本发明是一种基于单向传输路径的片上网络测试封装,属于片上网络测试封装领域。
背景技术
随着集成电路制造工艺的进步和并行计算需求的增加,在单片芯片上集成众多处理器核等资源成为趋势。随之而来的大量的通信量需求使得片上网络成为多核系统一种重要的互连通信结构。相比于基于总线的通信结构,片上网络的可扩展性更好,带宽更高。
特征尺寸的不断缩小使得现代集成电路对温度、电压和工艺等变量变得越发敏感。在生产和使用过程中,工艺参数的变化、粒子撞击、老化现象等会对集成电路引入物理缺陷。我们应及早地检测这些故障并采取治愈措施,避免片上网络中出现数据包丢失、数据包损坏甚至死锁等失效行为。
在使用基于片上网络的多核片上系统上运行多个应用之前,通常会将这些应用分别划分成若干个任务,按照映射算法分配到多核片上系统的一系列节点上,每一个资源节点包含了一个处理器及其邻接路由器。当完成映射之后,片上网络中将存在大量的空闲单向链路和部分空闲的路由器,比如不同应用占用的资源节点之间的链路、同一个应用占用的资源节点内部有存在部分通信链路,以及那些没有被应用占用的路由器的外围链路都是空闲的。这为我们无负面影响的片上网络在线测试提供了可能。
当前面向片上网络的测试方法主要是基于路由器和基于链路的测试。传统的片上网络由路由器、链路和片上网络接口组成。基于路由器的测试封装通常需要占用正在运行的资源节点来进行测试,或者通过多个资源节点的配合来完成测试和故障定位。此外,基于链路的测试封装通常只对相邻资源节点之间的链路进行测试,无法覆盖到位于路由器中的控制逻辑电路,使得测试不够全面。
发明内容
为了提高片上网络的可测性和可观测性,同时不对在基于片上网络的多核系统上运行的应用产生负面影响,本发明提出了一种基于单向传输路径的片上网络测试封装。该测试封装用单向传输路径代替路由器和链路来作为片上网络的基本组成单元,并将单向传输路径为最小测试对象,其中单向传输路径定义为相邻片上网络资源节点之间的单向数据通路,以及与该单向数据通路功能耦合紧密的输入/输出控制电路。本发明的测试封装的内容包括了基于单向传输路径的片上网络结构、单向传输路径结构、被测试电路的封装器、内建自测试平台以及测试控制台。
基于单向传输路径的片上网络结构如图1所示。在这种结构中,使用单向传输路径作为片上网络的基本组成单元,在邻接传输路径交汇的地方形成连接点。该结构的片上网络跟传统的基于路由器的片上网络功能一致。连接到相同连接点的不同方向的传输路径的功能是相互独立的;连接到不同连接点的传输路径的功能也是相互独立的。此外,在本发明的测试封装中,为了节省测试电路的面积和功耗,测试组件放置在连接点处,并由连接到该连接点的单向传输路径共享。测试组件包括了内建自测试平台和测试控制台。
本发明的整体结构如图2所示。每一个传输路径包含了相邻片上网络资源节点之间的单向数据通路,以及与该单向数据通路功能耦合紧密的输入/输出控制电路。传输路径中包含的单向数据通路涉及的硬件结构为一端口的输出寄存器、另一端口的输入缓存器和它们之间的链路;输入控制单元涉及的硬件结构有限状态机(finite state machine,FSM)、路由计算单元(routing calculation unit,RC)、虚拟通道分配单元(virtual-channel allocator,VA)和多路复用器;输出控制单元涉及的硬件包括开关分配器(switchallocator,SA)和多路复用器。其中FSM负责当前端口输入数据包之后的传输控制,RC负责计算当前端口输入缓存队列最先进的数据包的路由方向,VA负责处理虚拟通道分配请求,SA负责来自连接点其他方向的数据包的传输使能控制,多路复用器负责不同方向进来数据包或者控制信号选择。
本发明中的测试封装器如图3所示。图3中(a)子图展示的是单向数据通路的测试封装器。该封装器主要由多路复用器组成。当隔离使能时,输出寄存器级接受来自通道数据单元内建自测试平台的测试数据包,输入缓存队列的数据将会进入通道数据单元内建自测试平台作为测试响应,同时采用固定信号屏蔽输入缓存队列的状态信息。图3中(b)子图展示的是输入控制单元和输出控制单元的测试封装器。该封装器同样由多路复用器组成。当隔离使能时,通道控制单元接受来自通道控制单元内建自测试平台的测试序列和控制信号,同时同样采用固定信号的方式来屏蔽对其他控制请求的响应。以上封装器的使能顺序和使能信号均有测试控制台来控制,测试控制台是整个测试过程的管理者。
本发明中的通道控制单元内建自测试平台如图4所示。该内建自测试平台以传统的STUMP(Self-Testing Using MISR and Parallel SRSG)结构为基础。平台使用线性反馈移位寄存器(linear feedback shift register,LFSR)基于预设种子产生一系列伪随机测试序列。为了节省LFSR的面积,移相器被用来对伪随机序列进行超前移相以产生更多的随机序列。在本发明中,对被测试电路插入了多条扫描链,这样可以节约大量的测试时间。在内建自测试的响应捕获端,使用能够容纳不定值X的测试响应压缩器(X-tolerant testresponse compactor)对测试响应进行压缩,之后将压缩值输入到多输入特征值寄存器(multiple input signature register,MISR)以产生唯一的特征值。最后在测试响应分析器(test response analyzer,TRA)中将实际的测试响应特征值与理想的响应特征值进行比对,用来判断被测试电路是否存在故障。整个内建自测试平台由内部的内建自测试控制器来控制。
通道数据单元内建自测试平台使用状态机通过移位操作产生预设测试数据包对单向数据通路进行测试。当前端口通道数据单元内建自测试平台产生的测试数据包经过当前端口的输出寄存器级,通过数据链路输入到被测试路径另外一端的输入缓存队列,并由另一端的内建自测试平台与理想数据包进行内容比对,以判断被测单向数据通路是否存在故障。
附图说明
图1为本发明的片上网络结构示例。
图2为本发明的整体结构。
图3为本发明的测试封装器。
图4为本发明的内建自测试平台。
图5为本发明的测试流程。
具体实施方式
图5展示了本发明的测试流程,该流程由本发明中测试控制台的有限状态机的状态转移图来表示。在对测试组件进行初始化之前,状态机处于空闲状态。当测试控制台接收到测试使能触发,会进入测试组件的隔离握手阶段。首先测试封装器会对被测试的单向传输路径的输出寄存器进行隔离,之后等待另一端的输入缓存器清空。被测试路径两端确认完毕表示测试同步握手成功,进而进入测试的触发阶段。在触发测试阶段,测试控制台会分别触发通道控制和数据单元的内建自测试平台对被测路径进行测试,其中对通道数据单元会进行多次测试以覆盖间歇性故障。当所有电路测试完毕之后,进入测试结果分析阶段,最终回到空闲状态,被测试路径恢复正常运行模式。

Claims (4)

1.一种基于单向传输路径的片上网络测试封装,其特征在于片上网络的测试以一个单向传输路径为最小测试对象,并将单向传输路径作为片上网络的基本组成单元,能够采用针对性的内建自测试方法对该单向传输路径进行在线测试。
2.如权利要求1中所述的单向传输路径,其特点在于单向传输路径之间的功能相互独立,覆盖了片上网络相邻资源节点之间的单向数据通路以及与该单向数据通路功能耦合紧密的控制逻辑电路,并共享放置在连接点处的测试组件。
3.如权利要求1中所述的针对性的内建自测试方法,其特征在于将单向传输路径的端口划分成数据单元、输入控制单元和输出控制单元,对数据单元采用预设数据包传输的方法进行功能性测试,对输入控制单元和输出控制单元采用伪随机测试序列进行结构性测试。
4.如权利要求2中所述的测试组件,其特征在于测试组件包括数据单元内建自测试平台、控制单元内建自测试平台和测试控制台,并由连接到相同连接点的单向传输路径共享使用,且测试过程由相同测试控制台统一控制。
CN201810516625.1A 2018-05-25 2018-05-25 一种基于单向传输路径的片上网络测试封装 Pending CN108848001A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201810516625.1A CN108848001A (zh) 2018-05-25 2018-05-25 一种基于单向传输路径的片上网络测试封装

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201810516625.1A CN108848001A (zh) 2018-05-25 2018-05-25 一种基于单向传输路径的片上网络测试封装

Publications (1)

Publication Number Publication Date
CN108848001A true CN108848001A (zh) 2018-11-20

Family

ID=64213456

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201810516625.1A Pending CN108848001A (zh) 2018-05-25 2018-05-25 一种基于单向传输路径的片上网络测试封装

Country Status (1)

Country Link
CN (1) CN108848001A (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112562767A (zh) * 2020-12-29 2021-03-26 国家数字交换系统工程技术研究中心 一种晶上软件定义互连网络装置与方法
CN113115136A (zh) * 2021-03-17 2021-07-13 桂林电子科技大学 基于单故障模型的光路由器桥接故障定位方法
CN116405377A (zh) * 2023-06-07 2023-07-07 太初(无锡)电子科技有限公司 网络状态检测方法、协议转换组件、设备及存储介质

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112562767A (zh) * 2020-12-29 2021-03-26 国家数字交换系统工程技术研究中心 一种晶上软件定义互连网络装置与方法
CN112562767B (zh) * 2020-12-29 2021-09-28 国家数字交换系统工程技术研究中心 一种晶上软件定义互连网络装置与方法
CN113115136A (zh) * 2021-03-17 2021-07-13 桂林电子科技大学 基于单故障模型的光路由器桥接故障定位方法
CN116405377A (zh) * 2023-06-07 2023-07-07 太初(无锡)电子科技有限公司 网络状态检测方法、协议转换组件、设备及存储介质
CN116405377B (zh) * 2023-06-07 2023-08-08 太初(无锡)电子科技有限公司 网络状态检测方法、协议转换组件、设备及存储介质

Similar Documents

Publication Publication Date Title
Amory et al. A scalable test strategy for network-on-chip routers
Cota et al. Reliability, Availability and Serviceability of Networks-on-chip
KR101256976B1 (ko) 다중-코어 집적회로에서의 동시 코어 테스팅
US8214694B1 (en) Lightweight probe and data collection within an integrated circuit
Kakoee et al. A distributed and topology-agnostic approach for on-line NoC testing
Cota et al. Power-aware NoC Reuse on the Testing of Core-based Systems.
Cota et al. A high-fault-coverage approach for the test of data, control and handshake interconnects in mesh networks-on-chip
CN108848001A (zh) 一种基于单向传输路径的片上网络测试封装
US10788530B1 (en) Efficient and flexible network for streaming data in circuits
KR20150127704A (ko) 아날로그 블록 및 아날로그 블록을 테스트하는 테스트 블록
WO2005017752A1 (en) Methods and devices for injecting commands in systems having multiple multi-processor clusters
US10061879B2 (en) Circuit design instrumentation for state visualization
Xu et al. On signal tracing in post-silicon validation
KR20180072700A (ko) 회로 설계를 디버깅하기 위한 방법 및 회로
Bayraktaroglu et al. Cache resident functional microprocessor testing: Avoiding high speed io issues
CN108833204A (zh) 一种基于双向传输路径的片上网络测试封装
CN108134717B (zh) 基于有界模型检验的片上网络固定型故障在线测试方法
US6385747B1 (en) Testing of replicated components of electronic device
Liu et al. Diagnosis of interconnects and FPICs using a structured walking-1 approach
Neishaburi et al. Enabling efficient post-silicon debug by clustering of hardware-assertions
Kerkhoff et al. Design of an infrastructural IP dependability manager for a dependable reconfigurable many-core processor
Wu PEST: A Tool for Implementing Pseudo‐Exhaustive Self‐Test
Rout et al. Efficient post-silicon validation of network-on-chip using wireless links
Zhan et al. Online path-based test method for network-on-chip
Han et al. A scalable and parallel test access strategy for NoC-based multicore system

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
WD01 Invention patent application deemed withdrawn after publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20181120