CN107506226B - 一种用于hls指令优化的编码方法及终端 - Google Patents

一种用于hls指令优化的编码方法及终端 Download PDF

Info

Publication number
CN107506226B
CN107506226B CN201710550624.4A CN201710550624A CN107506226B CN 107506226 B CN107506226 B CN 107506226B CN 201710550624 A CN201710550624 A CN 201710550624A CN 107506226 B CN107506226 B CN 107506226B
Authority
CN
China
Prior art keywords
statement
hls
optimization
instruction
type
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201710550624.4A
Other languages
English (en)
Other versions
CN107506226A (zh
Inventor
黄晞
陈宝林
郭升挺
吴家飞
苏浩明
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujian Normal University
Original Assignee
Fujian Normal University
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujian Normal University filed Critical Fujian Normal University
Priority to CN201710550624.4A priority Critical patent/CN107506226B/zh
Publication of CN107506226A publication Critical patent/CN107506226A/zh
Application granted granted Critical
Publication of CN107506226B publication Critical patent/CN107506226B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F8/00Arrangements for software engineering
    • G06F8/40Transformation of program code
    • G06F8/41Compilation
    • G06F8/44Encoding
    • G06F8/443Optimisation

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Software Systems (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Devices For Executing Special Programs (AREA)

Abstract

本发明涉及编程领域,尤其涉及一种编码方法及终端。本发明提供了一种用于寻找HLS最优指令组合的数据编码格式。在利用HLS工具将高级语言编写的程序转换成硬件描述语言过程中,为了提高硬件电路运行程序的效率,需要尝试各种HLS优化指令组合,而HLS优化指令的组合数量庞大,使用本发明提供的数据编码格式有利于启发式算法寻找最优的HLS优化指令组合,从而极大程度的提高了寻找最优的HLS优化指令组合的效率。

Description

一种用于HLS指令优化的编码方法及终端
技术领域
本发明涉及编程领域,尤其涉及一种用于HLS指令优化的编码方法及终端。
背景技术
为满足现有的算法在硬件上进行加速,传统的硬件描述语言:Verilog HDL和VHDL由于开发难度大、开发周期长已难以迎合现有的需求。HLS的出现解决了这个问题,HLS(High Level Synthesis)能将软件开发人员所写的C/C++代码转化到可编程逻辑设计中。而通常算法程序的函数、数组和循环很多,利用HLS提供的20来种优化指令对程序的函数、循环、数组等进行优化所产生的指令组合是爆炸性的。使用现有的方法寻找最优的HLS优化指令组合效率低。
发明内容
本发明所要解决的技术问题是:如何提高获取将高级语言编写的源码转换为硬件描述语言的最优HLS优化指令组合的效率。
为了解决上述技术问题,本发明采用的技术方案为:
本发明提供一种用于HLS指令优化的编码方法,包括:
S1、获取源码中一语句的语句类型和语句标号;所述语句类型包括函数、数组、接口数组和循环语句;
S2、预设深度变量;当所述类型为函数时,设置所述深度变量的值为与所述语句对应的函数层级;当所述类型为数组时,设置所述深度变量的值为与所述语句对应的维数;当所述类型为循环语句时,设置所述深度变量的值为与所述语句对应的循环嵌套深度;当所述类型为接口数组时,设置所述深度变量的值为与所述语句对应的维数;
S3、从与所述语句类型对应的HLS优化指令集合中,随机获取一HLS优化指令的指令编号,得到优化指令标识;
S4、根据所述语句类型、所述语句标号、所述深度变量的值和所述优化指令标识得到与预设位数对应的编码;
S5、添加所述编码至预设的编码集合;
S6、重复执行S1至S5,直至所述编码集合中包含与所述源码的每一语句对应的编码;
S7、预设次数执行所述S1至S6,得到一个以上所述编码集合;
S8、根据预设的启发式算法对一个以上所述编码集合中的各种组合逐步迭代寻优后,从中选取最优编码组合。
本发明还提供一种用于HLS指令优化的编码终端,包括一个或多个处理器及存储器,所述存储器存储有程序,并且被配置成由所述一个或多个处理器执行以下步骤:
S1、获取源码中一语句的语句类型和语句标号;所述语句类型包括函数、数组、接口数组和循环语句;
S2、预设深度变量;当所述类型为函数时,设置所述深度变量的值为与所述语句对应的函数层级;当所述类型为数组时,设置所述深度变量的值为与所述语句对应的维数;当所述类型为循环语句时,设置所述深度变量的值为与所述语句对应的循环嵌套深度;当所述类型为接口数组时,设置所述深度变量的值为与所述语句对应的维数;
S3、从与所述语句类型对应的HLS优化指令集合中,随机获取一HLS优化指令的指令编号,得到优化指令标识;
S4、根据所述语句类型、所述语句标号、所述深度变量的值和所述优化指令标识得到与预设位数对应的编码;
S5、添加所述编码至预设的编码集合;
S6、重复执行S1至S5,直至所述编码集合中包含与所述源码的每一语句对应的编码;
S7、预设次数执行所述S1至S6,得到一个以上所述编码集合;
S8、根据预设的启发式算法对一个以上所述编码集合中的各种组合逐步迭代寻优后,从中选取最优编码组合。
本发明的有益效果在于:本发明提供了一种用于寻找HLS最优指令组合的数据编码格式。在利用HLS工具将高级语言编写的程序转换成硬件描述语言过程中,为了提高硬件电路运行程序的效率,需要尝试各种HLS优化指令组合,而HLS优化指令的组合数量庞大,使用本发明提供的数据编码格式有利于启发式算法寻找最优的HLS优化指令组合,从而极大程度的提高了寻找最优的HLS优化指令组合的效率。
附图说明
图1为本发明提供的一种用于HLS指令优化的编码方法的具体实施方式的流程框图;
图2为本发明提供的一种用于HLS指令优化的编码终端的具体实施方式的结构框图;
标号说明:
1、处理器;2、存储器。
具体实施方式
为详细说明本发明的技术内容、所实现目的及效果,以下结合实施方式并配合附图予以说明。
请参照图1以及图2,
如图1所示,本发明提供一种用于HLS指令优化的编码方法,包括:
S1、获取源码中一语句的语句类型和语句标号;所述语句类型包括函数、数组、接口数组和循环语句;
S2、预设深度变量;当所述类型为函数时,设置所述深度变量的值为与所述语句对应的函数层级;当所述类型为数组时,设置所述深度变量的值为与所述语句对应的维数;当所述类型为循环语句时,设置所述深度变量的值为与所述语句对应的循环嵌套深度;当所述类型为接口数组时,设置所述深度变量的值为与所述语句对应的维数;
S3、从与所述语句类型对应的HLS优化指令集合中,随机获取一HLS优化指令的指令编号,得到优化指令标识;
S4、根据所述语句类型、所述语句标号、所述深度变量的值和所述优化指令标识得到与预设位数对应的编码;
S5、添加所述编码至预设的编码集合;
S6、重复执行S1至S5,直至所述编码集合中包含与所述源码的每一语句对应的编码;
S7、预设次数执行所述S1至S6,得到一个以上所述编码集合;
S8、根据预设的启发式算法对一个以上所述编码集合中的各种组合逐步迭代寻优后,从中选取最优编码组合。
进一步地,还包括:
获取与所述最优编码集合对应的优化指令标识集合;
根据所述优化指令标识集合对应的HLS优化指令优化所述源码。
由上述描述可知,实现有效减少将使用高级语言编写的源码综合成硬件时所需的硬件资源及时延。
进一步地,其特征在于,所述S8具体为:
生成与一个以上所述编码集合对应的一个以上TCL脚本文件;
根据预设的启发式算法对一个以上所述TCL脚本文件集合进行迭代寻优搜索,从中选取最优TCL脚本文件;
获取与所述最优TCL脚本文件对应的编码集合,得到最优编码集合。
由上述描述可知,为了便于分析,HLS优化指令并没有嵌入至C/C++源码中,而是保存在TCL指令脚本中。
进一步地,还包括:
获取与每一所述编码集合对应的时延和资源数量,得到执行结果集合;
根据所述执行结果集合生成分析报告。
由上述描述可知,启发式算法主要是为了通过迭代的方式逐步找到最优解。依据每个编码集合各成一份TCL文件,该TCL文件引导HLS工具生成由硬件描述语言HDL构成的硬件IP核,并产生一份报表,报表中详细记录着添加与编码集合对应的优化指令所产生的时延以及资源消耗情况,用户可从中挑选出适合实际情况的最优解决方案。
如图2所示,本发明还提供一种用于HLS指令优化的编码终端,包括一个或多个处理器及存储器2,所述存储器存储有程序,并且被配置成由所述一个或多个处理器执行以下步骤:
S1、获取源码中一语句的语句类型和语句标号;所述语句类型包括函数、数组、接口数组和循环语句;
S2、预设深度变量;当所述类型为函数时,设置所述深度变量的值为与所述语句对应的函数层级;当所述类型为数组时,设置所述深度变量的值为与所述语句对应的维数;当所述类型为循环语句时,设置所述深度变量的值为与所述语句对应的循环嵌套深度;当所述类型为接口数组时,设置所述深度变量的值为与所述语句对应的维数;
S3、从与所述语句类型对应的HLS优化指令集合中,随机获取一HLS优化指令的指令编号,得到优化指令标识;
S4、根据所述语句类型、所述语句标号、所述深度变量的值和所述优化指令标识得到与预设位数对应的编码;
S5、添加所述编码至预设的编码集合;
S6、重复执行S1至S5,直至所述编码集合中包含与所述源码的每一语句对应的编码;
S7、预设次数执行所述S1至S6,得到一个以上所述编码集合;
S8、根据预设的启发式算法对一个以上所述编码集合中的各种组合逐步迭代寻优后,从中选取最优编码组合。
进一步地,还包括:
获取与所述最优编码集合对应的优化指令标识集合;
根据所述优化指令标识集合对应的HLS优化指令优化所述源码。
进一步地,还包括:
获取与每一所述编码集合对应的时延和资源数量,得到执行结果集合;
根据所述执行结果集合生成分析报告。
进一步地,所述S8具体为:
生成与一个以上所述编码集合对应的一个以上TCL脚本文件;
根据预设的启发式算法对一个以上所述TCL脚本文件集合进行迭代寻优搜索,从中选取最优TCL脚本文件;
获取与所述最优TCL脚本文件对应的编码集合,得到最优编码集合。
本发明的实施例一为:
本实施例提供一种用于HLS指令优化的编码方法,包括:
S1、获取源码中一语句的语句类型和语句标号;所述语句类型包括函数、数组、接口数组和循环语句;
其中,通过数据提取工具提取出C/C++源码中每一语句的语句类型和语句标号;若语句类型为函数、数组、接口数组,则语句标号分别为函数名、数组名、接口数组名;
S2、预设深度变量;当所述类型为函数时,设置所述深度变量的值为与所述语句对应的函数层级;当所述类型为数组时,设置所述深度变量的值为与所述语句对应的维数;当所述类型为循环语句时,设置所述深度变量的值为与所述语句对应的循环嵌套深度;当所述类型为接口数组时,设置所述深度变量的值为与所述语句对应的维数;
其中,深度变量的值的实际含义如表1所示;
S3、从与所述语句类型对应的HLS优化指令集合中,随机获取一HLS优化指令的指令编号,得到优化指令标识;
其中,HLS工具提供了20多种HLS优化指令,每种指令又可携带不同的参数,因此,有无数种组合HLS优化指令的方式,且根据每一组合方式优化源码所需的时延和资源数都不同;将HLS工具中的HLS优化指令赋予编号,即进行指令编码;在四字段编码中,前三个字段的内容决定了第四字段的指令编号的取值范围,如表2所示,函数优化指令的取值范围为0~6,循环优化指令的取值范围为0~7,数组优化指令为0~4,接口优化指令为0~5;启发式算法在每次迭代时利用随机函数可以非常方便的生成第四字段的指令编号(在取值范围内),这种指令自动编码方法与现有的手工修改指令的方法相比,大大提升了开发效率;
S4、根据所述语句类型、所述语句标号、所述深度变量的值和所述优化指令标识得到与预设位数对应的编码;
其中,本实施例采用四字段编码,第一字段由一个字符构成,表示语句类型;当语句类型为函数时,该字段的值为0;当语句类型为循环语句时,该字段的值为1,当语句类型为数组时,该字段的值为2;当语句类型为接口数组时,该字段的值为3;第二字段由30个字符构成,表示语句标号;第三字段由一个字符构成,表示深度变量的值;第四字段由一个十进制位构成,表示HLS优化指令的指令编号;
例如,编码0matrixmul 1 1表示语句类型为函数,函数名为matrixmul,该函数为子函数,为该语句添加HLS优化指令pipeline;
S5、添加所述编码至预设的编码集合;
S6、重复执行S1至S5,直至所述编码集合中包含与所述源码的每一语句对应的编码;
S7、预设次数执行所述S1至S6,得到一个以上所述编码集合;
S8、根据预设的启发式算法对一个以上所述编码集合中的各种组合逐步迭代寻优后,从中选取最优编码组合;具体为:
S81、生成与一个以上所述编码集合对应的一个以上TCL脚本文件;
其中,TCL脚本文件的书写具有一定的规范,可参阅HLS官方文档;例如,将编码0matrixmul 1 1转换为TCL脚本文件中的脚本语句set_directive_pipeline“matrixmul”;
S82、根据预设的启发式算法对一个以上所述TCL脚本文件集合进行迭代寻优搜索,从中选取最优TCL脚本文件;
S83、获取与所述最优TCL脚本文件对应的编码集合,得到最优编码集合;
S9、获取与每一所述编码集合对应的时延和资源数量,得到执行结果集合;根据所述执行结果集合生成分析报告;
其中,根据现有的HLS工具可自动生成时延和资源数量信息;时延是指函数中计算所有输出值所需的时钟周期数,资源数量是指在FPGA可用资源中实现目标设计所需的硬件资源数量,包括查找表(LUT)、寄存器(registers)、block RAMs、DSP48s;为了获取较小的时延,必然占用较多芯片中电路的面积;比如利用HLS工具对H.264视频编解码程序进行硬件实现;因为H264程序复杂,直接综合成硬件将产生最优的时延(能较好地对视频进行实时处理,将产生较高的帧率),但所需的资源是远远不够的,这就需要权衡时延与面积的约束,来满足较好的实时处理效果;用户可根据实际情况,从分析报告中挑选其适合的HLS优化指令组合方案;
S10、获取与所述最优编码集合对应的优化指令标识集合;根据所述优化指令标识集合对应的HLS优化指令优化所述源码。
表1
Figure BDA0001344301370000081
表2
Figure BDA0001344301370000091
本发明的实施例二为:
本实施例提供一种用于HLS指令优化的编码终端,包括一个或多个处理器及存储器,所述存储器存储有程序,并且被配置成由所述一个或多个处理器1执行以下步骤:
S1、获取源码中一语句的语句类型和语句标号;所述语句类型包括函数、数组、接口数组和循环语句;
S2、预设深度变量;当所述类型为函数时,设置所述深度变量的值为与所述语句对应的函数层级;当所述类型为数组时,设置所述深度变量的值为与所述语句对应的维数;当所述类型为循环语句时,设置所述深度变量的值为与所述语句对应的循环嵌套深度;当所述类型为接口数组时,设置所述深度变量的值为与所述语句对应的维数;
S3、从与所述语句类型对应的HLS优化指令集合中,随机获取一HLS优化指令的指令编号,得到优化指令标识;
S4、根据所述语句类型、所述语句标号、所述深度变量的值和所述优化指令标识得到与预设位数对应的编码;
S5、添加所述编码至预设的编码集合;
S6、重复执行S1至S5,直至所述编码集合中包含与所述源码的每一语句对应的编码;
S7、预设次数执行所述S1至S6,得到一个以上所述编码集合;
S8、根据预设的启发式算法对一个以上所述编码集合中的各种组合逐步迭代寻优后,从中选取最优编码组合;
S81、生成与一个以上所述编码集合对应的一个以上TCL脚本文件;
其中,TCL脚本文件的书写具有一定的规范,可参阅HLS官方文档;例如,将编码0matrixmul 1 1转换为TCL脚本文件中的脚本语句set_directive_pipeline“matrixmul”;
S82、根据预设的启发式算法对一个以上所述TCL脚本文件集合进行迭代寻优搜索,从中选取最优TCL脚本文件;
S83、获取与所述最优TCL脚本文件对应的编码集合,得到最优编码集合;
S9、获取与每一所述编码集合对应的时延和资源数量,得到执行结果集合;根据所述执行结果集合生成分析报告;
S10、获取与所述最优编码集合对应的优化指令标识集合;根据所述优化指令标识集合对应的HLS优化指令优化所述源码。
综上所述,本发明提供了一种用于寻找HLS最优指令组合的数据编码格式。在利用HLS工具将高级语言编写的程序转换成硬件描述语言过程中,为了提高硬件电路运行程序的效率,需要尝试各种HLS优化指令组合,而HLS优化指令的组合数量庞大,使用本发明提供的数据编码格式有利于启发式算法寻找最优的HLS优化指令组合,从而极大程度的提高了寻找最优的HLS优化指令组合的效率。
以上所述仅为本发明的实施例,并非因此限制本发明的专利范围,凡是利用本发明说明书及附图内容所作的等同变换,或直接或间接运用在相关的技术领域,均同理包括在本发明的专利保护范围内。

Claims (6)

1.一种用于HLS指令优化的编码方法,其特征在于,包括:
S1、获取源码中一语句的语句类型和语句标号;所述语句类型包括函数、数组、接口和循环语句;
S2、预设深度变量;当所述类型为函数时,设置所述深度变量的值为与所述语句对应的函数层级;当所述类型为数组时,设置所述深度变量的值为与所述语句对应的维数;当所述类型为循环语句时,设置所述深度变量的值为与所述语句对应的循环嵌套深度;当所述类型为接口时,设置所述深度变量的值为与所述语句对应的维数;
S3、从与所述语句类型对应的HLS优化指令集合中,随机获取一HLS优化指令的指令编号,得到优化指令标识;
S4、根据所述语句类型、所述语句标号、所述深度变量的值和所述优化指令标识得到与预设位数对应的编码;
S5、添加所述编码至预设的编码集合;
S6、重复执行S1至S5,直至所述编码集合中包含与所述源码的每一语句对应的编码;
S7、预设次数执行所述S1至S6,得到一个以上所述编码集合;
S8、根据预设的启发式算法对一个以上所述编码集合中的各种组合逐步迭代寻优后,从中选取最优编码集合;所述S8具体为:
生成与一个以上所述编码集合对应的一个以上TCL脚本文件;
根据预设的启发式算法对一个以上所述TCL脚本文件集合进行迭代寻优搜索,从中选取最优TCL脚本文件;
获取与所述最优TCL脚本文件对应的编码集合,得到最优编码集合。
2.根据权利要求1所述的一种用于HLS指令优化的编码方法,其特征在于,还包括:
获取与所述最优编码集合对应的优化指令标识集合;
根据所述优化指令标识集合对应的HLS优化指令优化所述源码。
3.根据权利要求1所述的一种用于HLS指令优化的编码方法,其特征在于,还包括:
获取与每一所述编码集合对应的时延和资源数量,得到执行结果集合;
根据所述执行结果集合生成分析报告。
4.一种用于HLS指令优化的编码终端,其特征在于,包括一个或多个处理器及存储器,所述存储器存储有程序,并且被配置成由所述一个或多个处理器执行以下步骤:
S1、获取源码中一语句的语句类型和语句标号;所述语句类型包括函数、数组、接口和循环语句;
S2、预设深度变量;当所述类型为函数时,设置所述深度变量的值为与所述语句对应的函数层级;当所述类型为数组时,设置所述深度变量的值为与所述语句对应的维数;当所述类型为循环语句时,设置所述深度变量的值为与所述语句对应的循环嵌套深度;当所述类型为接口时,设置所述深度变量的值为与所述语句对应的维数;
S3、从与所述语句类型对应的HLS优化指令集合中,随机获取一HLS优化指令的指令编号,得到优化指令标识;
S4、根据所述语句类型、所述语句标号、所述深度变量的值和所述优化指令标识得到与预设位数对应的编码;
S5、添加所述编码至预设的编码集合;
S6、重复执行S1至S5,直至所述编码集合中包含与所述源码的每一语句对应的编码;
S7、预设次数执行所述S1至S6,得到一个以上所述编码集合;
S8、根据预设的启发式算法对一个以上所述编码集合中的各种组合逐步迭代寻优后,从中选取最优编码集合;所述S8具体为:
生成与一个以上所述编码集合对应的一个以上TCL脚本文件;
根据预设的启发式算法对一个以上所述TCL脚本文件集合进行迭代寻优搜索,从中选取最优TCL脚本文件;
获取与所述最优TCL脚本文件对应的编码集合,得到最优编码集合。
5.根据权利要求4所述的一种用于HLS指令优化的编码终端,其特征在于,还包括:
获取与所述最优编码集合对应的优化指令标识集合;
根据所述优化指令标识集合对应的HLS优化指令优化所述源码。
6.根据权利要求4所述的一种用于HLS指令优化的编码终端,其特征在于,还包括:
获取与每一所述编码集合对应的时延和资源数量,得到执行结果集合;
根据所述执行结果集合生成分析报告。
CN201710550624.4A 2017-07-07 2017-07-07 一种用于hls指令优化的编码方法及终端 Active CN107506226B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201710550624.4A CN107506226B (zh) 2017-07-07 2017-07-07 一种用于hls指令优化的编码方法及终端

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201710550624.4A CN107506226B (zh) 2017-07-07 2017-07-07 一种用于hls指令优化的编码方法及终端

Publications (2)

Publication Number Publication Date
CN107506226A CN107506226A (zh) 2017-12-22
CN107506226B true CN107506226B (zh) 2020-08-14

Family

ID=60679653

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710550624.4A Active CN107506226B (zh) 2017-07-07 2017-07-07 一种用于hls指令优化的编码方法及终端

Country Status (1)

Country Link
CN (1) CN107506226B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109086049B (zh) * 2018-07-25 2021-11-02 北京金和网络股份有限公司 遍历用户在线状态数据的方法
CN111914307B (zh) * 2020-08-11 2022-10-14 山东大学 基于高层次综合的国密算法sm4加速处理方法及系统

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7565631B1 (en) * 2004-07-02 2009-07-21 Northwestern University Method and system for translating software binaries and assembly code onto hardware
CN106851276A (zh) * 2017-02-09 2017-06-13 西安电子科技大学 一种基于Vivado HLS实现的CABAC流水线编码方法
CN106909433A (zh) * 2017-02-21 2017-06-30 西北工业大学 一种基于Zynq系列FPGA的D‑S证据理论算法加速方法

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5605435B2 (ja) * 2010-04-09 2014-10-15 日本電気株式会社 設計空間探索を加速する方法及び装置

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7565631B1 (en) * 2004-07-02 2009-07-21 Northwestern University Method and system for translating software binaries and assembly code onto hardware
CN106851276A (zh) * 2017-02-09 2017-06-13 西安电子科技大学 一种基于Vivado HLS实现的CABAC流水线编码方法
CN106909433A (zh) * 2017-02-21 2017-06-30 西北工业大学 一种基于Zynq系列FPGA的D‑S证据理论算法加速方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
"An FPGA Framework for Genetic Algorithms: Solving the Minimum Energy Broadcast Problem";Pedro Vieira dos Santos等;《2015 Euromicro Conference on Digital System Design》;20151026;第9-16页 *

Also Published As

Publication number Publication date
CN107506226A (zh) 2017-12-22

Similar Documents

Publication Publication Date Title
Zhang et al. An fpga-based reconfigurable cnn accelerator for yolo
Stachurski Economic dynamics: theory and computation
CN107341262B (zh) 数据库中对象类型列的序列化、反序列化方法及装置
US7680333B2 (en) System and method for binary persistence format for a recognition result lattice
RU2643467C1 (ru) Сопоставление разметки для похожих документов
CN109344197B (zh) 基于大数据的分页下载方法和装置
CN107506226B (zh) 一种用于hls指令优化的编码方法及终端
US11977600B2 (en) Machine learning architecture support for block sparsity
CN110516233B (zh) 数据处理的方法、装置、终端设备以及存储介质
CN110955410A (zh) 一种代码自动生成方法、装置、设备及介质
US10241767B2 (en) Distributed function generation with shared structures
CN114428639A (zh) 一种字节码指令集的指令精简方法和系统
CN110069284A (zh) 一种基于opu指令集的编译方法及编译器
CN107341152B (zh) 一种参数输入的方法及装置
CN116933697B (zh) 一种将自然语言转换为硬件描述语言的方法及装置
CN115576983A (zh) 一种语句生成方法、装置、电子设备及介质
CN114185528B (zh) 一种自定义表单控件间配置执行的方法
CN106909433B (zh) 一种基于Zynq系列FPGA的D-S证据理论算法加速方法
CN107368283B (zh) 一种真随机数生成方法
CN111914867A (zh) 一种基于fpga的卷积神经网络ip核设计
Corre et al. Fast template-based heterogeneous mpsoc synthesis on fpga
CN109710419B (zh) 基于文本分析的mpi代码通信过程解析方法
CN108572925B (zh) 一种step文件等效的二进制数据存储方法
CN111510159B (zh) 遵循通用信息交换协议规范的智能编码方法及编码器
CN118151906B (zh) 一种算子的自动生成方法、装置、设备及介质

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant