CN107431037B - 用于电子束曝光系统的精密对准系统 - Google Patents

用于电子束曝光系统的精密对准系统 Download PDF

Info

Publication number
CN107431037B
CN107431037B CN201580078094.7A CN201580078094A CN107431037B CN 107431037 B CN107431037 B CN 107431037B CN 201580078094 A CN201580078094 A CN 201580078094A CN 107431037 B CN107431037 B CN 107431037B
Authority
CN
China
Prior art keywords
direction alignment
wafer
electron beam
alignment feature
electron
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201580078094.7A
Other languages
English (en)
Other versions
CN107431037A (zh
Inventor
Y·A·波罗多维斯基
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of CN107431037A publication Critical patent/CN107431037A/zh
Application granted granted Critical
Publication of CN107431037B publication Critical patent/CN107431037B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/682Mask-wafer alignment
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
    • G03F7/2059Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • G03F9/7003Alignment type or strategy, e.g. leveling, global alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/045Beam blanking or chopping, i.e. arrangements for momentarily interrupting exposure to the discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/09Diaphragms; Shields associated with electron or ion-optical arrangements; Compensation of disturbing fields
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/304Controlling tubes by information coming from the objects or from the beam, e.g. correction signals
    • H01J37/3045Object or beam position registration
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • H01J37/3177Multi-beam, e.g. fly's eye, comb probe
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0277Electrolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/04Means for controlling the discharge
    • H01J2237/045Diaphragms
    • H01J2237/0451Diaphragms with fixed aperture
    • H01J2237/0453Diaphragms with fixed aperture multiple apertures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/244Detection characterized by the detecting means
    • H01J2237/24475Scattered electron detectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/245Detection characterised by the variable being measured
    • H01J2237/24571Measurements of non-electric or non-magnetic variables
    • H01J2237/24578Spatial variables, e.g. position, distance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/26Electron or ion microscopes
    • H01J2237/28Scanning microscopes
    • H01J2237/2813Scanning microscopes characterised by the application
    • H01J2237/2817Pattern inspection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/20Means for supporting or positioning the objects or the material; Means for adjusting diaphragms or lenses associated with the support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/244Detectors; Associated components or circuits therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/5442Marks applied to semiconductor devices or parts comprising non digital, non alphanumeric information, e.g. symbols
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54426Marks applied to semiconductor devices or parts for alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54453Marks applied to semiconductor devices or parts for use prior to dicing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54473Marks applied to semiconductor devices or parts for use after dicing

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Electron Beam Exposure (AREA)

Abstract

描述了适用于互补型电子束光刻(CEBL)的光刻装置以及涉及互补型电子束光刻(CEBL)的方法。在示例中,电子束工具的精密对准的方法包括:在沿着Y移动晶圆时,在晶圆的X方向对准特征上投射电子束列的多个孔径的电子图像。该方法还包括:在投射期间检测时间分辨的背散射电子(BSE)检测响应波形。该方法还包括:通过计算BSE检测响应波形的导数来确定X方向对准特征的每个特征的每个边缘的X位置。该方法还包括:在确定X方向对准特征的每个特征的每个边缘的X位置之后,调整电子束列与晶圆的对准。

Description

用于电子束曝光系统的精密对准系统
相关申请的交叉引用
本申请要求于2015年4月21日提交的美国临时申请No.62/150,728的权益,该美国临时申请的全部内容以引用的方式并入本文中。
技术领域
本发明的实施例属于光刻领域,具体而言,属于涉及互补型电子束光刻(CEBL)的光刻领域。
背景技术
在过去的几十年中,集成电路中特征的缩放一直是不断增长的半导体行业背后的驱动力。缩放到越来越小的特征使得能够在半导体芯片的有限基板面上增加功能单元的密度。
集成电路通常包括导电微电子结构,其在本领域中称为过孔。过孔可用于将过孔上方的金属线电连接到过孔下方的金属线。过孔通常由光刻工艺形成。代表性地,光致抗蚀剂层可以旋涂在电介质层的上方,光致抗蚀剂层可以暴露于穿过图案化的掩模的图案化的光化辐射,然后经曝光的层可以被显影以便在光致抗蚀剂层中形成开口。接下来,通过使用光致抗蚀剂层中的开口作为蚀刻掩模,可以在电介质层中蚀刻过孔的开口。该开口被称为过孔开口。最后,过孔开口可填充有一种或多种金属或其它导电材料以形成过孔。
过去,过孔的尺寸和间距逐渐减小,预计在未来,对于至少一些类型的集成电路(例如,高级微处理器、芯片组部件、图形芯片等),过孔的尺寸和间距将继续逐渐减小。过孔的尺寸的一个度量是过孔开口的临界尺寸。过孔的间距的一个度量是过孔节距。过孔节距表示最邻近的过孔之间的中心到中心的距离。当通过这种光刻工艺对具有极小节距的极小过孔进行图案化时,存在若干个挑战。
一个这样的挑战是,过孔与上覆金属线之间的重叠以及过孔与下面的金属线之间的重叠通常需要被控制到大约四分之一过孔节距的高公差。随着时间的推移,过孔节距缩放得越来越小,重叠公差倾向于以甚至比光刻设备能够缩放的速率更大的速率而随着过孔节距缩放。
另一个这样的挑战是,过孔开口的临界尺寸通常倾向于比光刻扫描仪的分辨能力更快地缩放。存在缩小技术以缩小过孔开口的临界尺寸。然而,缩小量倾向于被最小过孔节距以及缩小工艺足够光学邻近校正(OPC)中性的能力限制,并且不会显著地损害线宽粗糙度(LWR)和/或临界尺寸均匀度(CDU)。
另一个这样的挑战是,光致抗蚀剂的LWR和/或CDU特性通常需要随着过孔开口的临界尺寸减小而改进,以便维持临界尺寸预算的相同整体分数。然而,目前大多数光致抗蚀剂的LWR和/或CDU特性并不像过孔开口的临界尺寸减小一样快地改进。另一个这样的挑战是极小的过孔节距通常倾向于低于甚至极紫外(EUV)光刻扫描仪的分辨能力。因此,通常可能需要使用两种、三种或更多种不同的光刻掩模,这倾向于增加制造成本。在某些情况下,如果节距继续减小,即使利用多个掩模,也可能无法使用传统扫描仪来印刷这些极小节距的过孔开口。
同样地,与金属过孔相关联的金属线结构中的切口(即,中断或连续的断裂)的制造面临类似的缩放问题。
因此,在光刻加工技术和能力方面需要进行改进。
发明内容
根据本发明的一个实施例,提供了一种电子束工具的精密对准的方法,所述方法包括:在沿着Y方向移动晶圆时,在所述晶圆的X方向对准特征上投射电子束列的多个孔径的电子图像,所述X方向对准特征还包括Y方向对准特性;在所述投射期间检测时间分辨的背散射电子(BSE)检测响应波形;通过计算所述背散射电子检测响应波形的导数来确定所述X方向对准特征的每个特征的每个边缘的X位置;确定所述X方向对准特征的Y位置;以及在确定所述X方向对准特征的每个特征的每个边缘的X位置之后,调整所述电子束列与所述晶圆的对准,其中,确定所述X方向对准特征的所述Y位置包括:在沿着所述Y方向移动所述晶圆时检测所述X方向对准特征的单个最长线的位置。
根据本发明的另一实施例,提供了一种用于电子束工具的列,所述列包括:电子源,所述电子源用于提供电子束;限制孔径,所述限制孔径沿着所述电子束的路径与所述电子源耦合;高纵横比照明光学器件,所述高纵横比照明光学器件沿着所述电子束的所述路径与所述限制孔径耦合;成形孔径,所述成形孔径沿着所述电子束的所述路径与所述高纵横比照明光学器件耦合;阻断器孔径阵列(BAA),所述阻断器孔径阵列(BAA)沿着所述电子束的所述路径与所述成形孔径耦合;最终孔径,所述最终孔径沿着所述电子束的所述路径与所述阻断器孔径阵列耦合;样品台,所述样品台用于接收所述电子束;以及电子检测器,所述电子检测器用于在沿着Y方向移动晶圆时从所述样品台上的所述晶圆的X方向对准特征收集背散射电子。
根据本发明的再一实施例,提供了一种半导体管芯,包括:衬底;以及非光学对准标记,所述非光学对准标记设置在所述衬底中或设置在所述衬底上方,所述非光学对准标记包括X方向对准特征和Y方向对准特征,其中,所述Y方向对准特征是所述X方向对准特征的单个最长线。
根据本发明的又一实施例,提供了一种非暂时性机器可访问储存介质,所述非暂时性机器可访问储存介质具有被储存在其上的指令,所述指令使得数据处理系统执行位于电子束工具的台上的晶圆的实时对准的方法,所述方法包括:在沿着Y方向移动晶圆时,在所述晶圆的X方向对准特征上投射电子束列的多个孔径的电子图像,所述X方向对准特征还包括Y方向对准特性;在所述投射期间检测时间分辨的背散射电子(BSE)检测响应波形;通过计算所述背散射电子检测响应波形的导数来确定所述X方向对准特征的每个特征的每个边缘的X位置;确定所述X方向对准特征的Y位置;以及在确定所述X方向对准特征的每个特征的每个边缘的X位置之后,调整所述电子束列与所述晶圆的对准,其中,确定所述X方向对准特征的所述Y位置包括在沿着所述Y方向移动所述晶圆时检测所述X方向对准特征的单个最长线的位置。
附图说明
图1A例示了在层间电介质(ILD)层上形成的硬掩模材料层的沉积之后但在其图案化之前的起始结构的横截面视图。
图1B例示了在通过节距减半对硬掩模层进行图案化之后的图1A的结构的横截面视图。
图2例示了涉及因数为六的节距分割的基于间隔体的六重(sextuple)图案化(SBSP)处理方案中的横截面视图。
图3例示了涉及因数为九的节距分割的基于间隔体的九重(nonuple)图案化(SBNP)处理方案中的横截面视图。
图4A是根据本发明的实施例的电子束光刻装置的电子束列的横截面示意图,图4B例示了图4A的放大部分,并且图4C例示了通过图4B的背散射电子(BSE)路径。
图5例示了根据本发明的实施例的EBDW工具的孔径阵列(AA)。
图6例示了根据本发明的实施例的在传播通过孔径阵列(AA)的工具列电子束下方的沿Y方向移动的EBDW工具台。
图7A-7C例示了根据本发明的实施例的在沿X移动AA的图像跨越X对准标记时的电子列X偏转器。
图8A和8B例示了根据本发明的实施例的背散射电子(BSE)检测器响应。
图9例示了根据本发明的实施例,通过计算BSE检测器信号的导数来确定形成X对准标记以及整个X对准标记的每个特征的每个边缘的X位置。
图10例示了根据本发明的实施例的Y对准标记的台移动。
图11例示了根据本发明的实施例的非周期性对准结构和对应的背散射电子(BSE)检测器响应。
图12例示了根据本发明的实施例的先前的层金属化结构的平面视图和对应的横截面视图。
图13A例示了根据本发明的实施例的具有鳍状物的非平面半导体器件的横截面视图。
图13B例示了根据本发明的实施例的沿着图13A的半导体器件的a-a' 轴线截取的平面视图。
图14例示了根据本发明的一个实施方式的计算设备。
图15例示了根据本发明的实施例的示例性计算机系统的框图。
图16是实施本发明的一个或多个实施例的内插件(interposer)。
图17是根据本发明的实施例构建的计算设备。
具体实施方式
描述了适用于互补型电子束光刻(CEBL)的光刻装置以及涉及互补型电子束光刻(CEBL)的方法。在下面的描述中,阐明了许多具体细节(例如,具体的工具、集成和材料状况),以便提供对本发明的实施例的透彻理解。对于本领域技术人员显而易见的是,可以在没有这些具体细节的情况下实践本发明的实施例。在其它情况下,未详细描述诸如单镶嵌或双镶嵌处理之类的公知特征,以免不必要地使本发明的实施例难以理解。此外,应当理解,附图中所示的各个实施例是示例性表示,并且不一定按比例绘制。在一些情况下,将以最有助于理解本发明的方式依次将各个操作描述为多个分立的操作,然而,描述的顺序不应被解释为暗示这些操作必然是顺序相关的。具体而言,这些操作不需要按照呈现的顺序执行。
本文描述的一个或多个实施例涉及关于或适于互补型电子束光刻(CEBL)的光刻方法和工具,包括在实施这些方法和工具时的半导体加工考虑。具体实施例涉及用于电子束曝光系统的精密对准系统。
互补型光刻利用携手合作的两种光刻技术的优势,以在大批量制造(HVM)中降低以20nm的半节距及更小的半节距来图案化逻辑器件中的临界层的成本。实施互补型光刻的最具成本效益的方法是将光学光刻与电子束光刻(EBL)组合。将集成电路(IC)设计转移到晶圆的过程需要以下各项:用于以预定义的节距印刷单向线(严格单向或主要单向)的光学光刻、用于增加线密度的节距分割技术、以及用于“切割”线的EBL。EBL还用于图案化其它临界层(特别是接触孔和过孔)。光学光刻可以单独用于图案化其它层。当用于互补型光学光刻时,EBL被称为CEBL或互补型EBL。CEBL旨在断开线的连续性。通过不试图对所有层进行图案化,CEBL在以先进的(较小的)技术节点(例如,10nm或者更小的(例如,7nm或5nm)技术节点)满足行业的图案化需求方面起到了补充的但至关重要的作用。CEBL还扩展了当前光学光刻技术、工具和基础设施的用途。
如上所述,在使用EBL切割这些线之前,可以使用节距分割技术来增大线密度。在第一示例中,可以实现节距减半以使制造的栅格结构的线密度加倍。图1A例示了在层间电介质(ILD)层上形成的硬掩模材料层的沉积之后但在其图案化之前的起始结构的横截面视图。图1B例示了在通过节距减半对硬掩模层进行图案化之后的图1A的结构的横截面视图。
参考图1A,起始结构100具有形成在层间电介质(ILD)层102上的硬掩模材料层104。图案化的掩模106被设置在硬掩模材料层104上方。图案化的掩模106具有沿其特征(线)的侧壁在硬掩模材料层104上形成的间隔体108。
参考图1B,硬掩模材料层104以节距减半的方法被图案化。具体地,首先去除图案化的掩模106。所得到的间隔体108的图案具有掩模106的两倍密度、或者其一半的节距或特征。间隔体108的图案例如通过蚀刻工艺被转移到硬掩模材料层104以形成图案化的硬掩模110,如图1B所示。在一个这样的实施例中,图案化的硬掩模110形成为具有栅格图案,该栅格图案具有单向线。图案化的硬掩模110的栅格图案可以是紧密节距栅格结构。例如,直接通过常规光刻技术可能无法实现该紧密节距。更进一步地,尽管未示出,但是初始节距可以通过第二轮间隔体掩模图案化而被四等分。因此,图1B的图案化的硬掩模110的栅格状图案可以具有以恒定节距间隔开并且相对于彼此具有恒定宽度的硬掩模线。实现的尺寸可以远小于采用光刻技术的临界尺寸。
因此,作为CEBL集成方案的第一部分,可以使用光刻和蚀刻处理对均厚膜进行图案化,该处理可以包括例如基于间隔体的双重图案化(SBDP)或节距减半、或者基于间隔体的四重图案化(SBQP)或节距四分。应当理解,也可以实施其它节距分割方法。
例如,图2例示了涉及因数为六的节距分割的基于间隔体的六重图案化(SBSP)处理方案中的横截面视图。参考图2,在操作(a)处,示出了在光刻、细化和蚀刻处理之后的牺牲图案X。在操作(b)处,示出了在沉积和蚀刻之后的间隔体A和B。在操作(c)处,示出了在间隔体A去除之后的操作(b)的图案。在操作(d)处,示出了在间隔体C沉积之后的操作(c)的图案。在操作(e)处,示出了在间隔体C蚀刻之后的操作(d)的图案。在操作(f)处,在牺牲图案X去除和间隔体B去除之后实现了节距/6图案。
在另一示例中,图3例示了涉及因数为九的节距分割的基于间隔体的九重图案化(SBNP)处理方案中的横截面视图。参考图3,在操作(a)处,示出了在光刻、细化和蚀刻处理之后的牺牲图案X。在操作(b)处,示出了在沉积和蚀刻之后的间隔体A和B。在操作(c)处,示出了在间隔体A去除之后的操作(b)的图案。在操作(d)处,示出了在间隔体C和D沉积和蚀刻之后的操作(c)的图案。在操作(e)处,在间隔体C去除之后实现了节距/9图案。
在任何情况下,在实施例中,如本文所述的互补型光刻包括首先通过常规或最新的光刻(例如,193nm沉浸式光刻(193i))来制造网格布局。可以实施节距分割以使网格布局中的线的密度增大n倍。利用193i光刻加上因数为n的节距分割的网格布局形成可以被命名为193i+P/n节距分割。然后,可以使用电子束直写(EBDW)“切割”来图案化对节距分割的网格布局的图案化,如下文更详细地描述的。在一个这样的实施例中,可以用具有成本效益的节距分割将193nm沉浸式缩放扩展许多代。互补型EBL用于断开栅格的连续性并对过孔进行图案化。应当理解,也可以使用其它布局(包括未网格化的布局)。
更具体地,本文描述的实施例涉及在集成电路的制造期间对特征进行图案化。在一个实施例中,CEBL用于对用于形成过孔的开口进行图案化。过孔是用于将过孔上方的金属线电连接到过孔下方的金属线的金属结构。在另一个实施例中,CEBL用于沿金属线形成非导电空间或中断(即,断开金属线的连续性)。通常,这种中断被称为“切口”,因为该过程包括去除或切除金属线的部分。然而,在镶嵌方法中,中断可以被称为“插塞”,其为沿着金属线轨线的区域,该区域实际上在制造方案的任何阶段都不是金属,而是不能形成金属的保留区域。然而,在任一情况下,可以互换地使用术语切口或插塞来指代断开最终形成的金属线的连续性。过孔开口和金属线切口或插塞形成通常被称为集成电路的后端(BEOL)处理。在另一个实施例中,CEBL用于前端(FEOL)处理。例如,可以使用本文所述的CEBL技术来执行活动区域尺寸(例如,鳍状物尺寸)和/或相关联的栅极结构的缩放。
如上所述,可以实施电子束(ebeam)光刻以补充标准光刻技术,以便实现用于集成电路制造的特征的期望的缩放。电子束光刻工具可用于执行电子束光刻。在示例性实施例中,图4A是根据本发明的实施例的电子束光刻装置的电子束列的横截面示意图,图4B例示了图4A的放大部分,图4C例示了通过图4B的背散射电子(BSE)路径。
参考图4A,电子束列400包括用于提供电子束404的电子源402。电子束404穿过限制孔径406,并且随后通过高纵横比照明光学器件408。出射束410随后穿过狭缝412,并且可以由细长透镜414(例如,可以是磁性的)来控制。最终,束404穿过成形孔径416(其可以是一维(1-D)的成形孔径),然后穿过阻断器(blanker)孔径阵列(BAA)418。BAA 418包括其中的多个物理孔径,例如形成在薄硅片中的开口。这可以是在给定的时间仅BAA 418的部分暴露于电子束的情况。替代地或联合地,只有穿过BAA 418的电子束404的部分420被允许穿过最终孔径422(例如,束部分421被示出为被阻断),并且可能穿过台反馈偏转器424。
再次参考图4A,所得到的电子束426最终穿过背散射电子(BSE)检测器429中的切口、开口或孔,并作为点428入射在晶圆430(例如,用于IC制造中的硅晶圆)的表面上。具体地,所得到的电子束可以入射在晶圆上的光致抗蚀剂层上,但实施例不限于此。台扫描仪432沿着图4A中所示的箭头434的方向相对于束426移动晶圆430。应当理解,电子束工具整个可以包括图4A中所示的类型的多个列400。此外,如下面的一些实施例中所述,电子束工具可以具有相关联的基本计算机,并且每个列还可以具有对应的列计算机。
现在参考图4B,根据本文所述的实施例,示出了BSE检测器429和样品430的放大视图。样品430可以包括例如抗蚀剂层480、硬掩模482、ILD层484(可能表示各个ILD/金属化层)和对准层486。对准层486包括例如ILD层488中的金属特征490,如图4B所示。应当理解,对准层486可以位于比图4A中所示的更多的层的下方。
现在参考图4C,根据本文所述的实施例,将入射电子492引入图4B的结构。一些电子从与例如对准层486的相互作用提供背散射电子源494。背散射电子494最终可被BSE检测器429检测,以提供对准信息。
最先进的电子束光刻技术的一个缺点是,它不容易应用在用于先进集成电路制造的大批量制造(HVM)环境中。现在的电子束工具和相关联的方法已被证明对于HVM晶圆处理的生产量要求而言太慢了。本文描述的实施例旨在使得能够在HVM环境中使用EBL。特别地,本文所描述的许多实施例能够提高EBL工具的生产量,以允许在HVM环境中使用EBL。
应当理解的是,当下面提及阻断器孔径阵列(BAA)中的开口或孔径时,BAA的全部或一些开口或孔径可被切换为打开或“闭合”(例如,通过束偏转),因为晶圆/管芯沿着晶圆行进或扫描方向而在下面移动。在一个实施例中,可以独立地控制BAA,以确定每个开口是否让电子束穿过而到达样品或使得束偏转到例如法拉第杯或阻断孔径中。包括这种BAA的电子束列或装置可以被构建成将整个束覆盖偏转至仅BAA的一部分,然后BAA中的各个开口被电配置为让电子束穿过(“开”)或不让电子束穿过(“关”)。例如,未偏转的电子穿过而到达晶圆并曝光抗蚀剂层,而偏转的电子被捕获在位于电子束列内的阻断孔径下面的法拉第杯的停止孔径中。将理解的是,提及“开口”或“开口高度”是指入射在接收晶圆上的斑点尺寸,而不是BAA中的物理开口,因为物理开口比最终从BAA生成的斑点尺寸(例如,纳米级)大得多(例如,微米级)。因此,当本文描述为BAA中的一列开口或者BAA的节距被描述成“对应于”金属线的节距时,这种描述实际上是指从BAA生成的入射点的节距与被切割的线的节距之间的关系。
还应当理解,在一些实施例中,如上所述的电子束列还可以包括除了结合图4A-4C所描述的那些特征之外的其它特征。例如,在实施例中,样品台可以旋转90度以适应可以正交地印刷至彼此的交替的金属化层(例如,在X和Y扫描方向之间旋转)。在另一个实施例中,电子束工具能够在将晶圆加载在台上之前将晶圆旋转90度。
根据本发明的实施例,通过从对曝光的晶圆的精确的实时重叠校正所需的底层提供对准信息来实现所需的重叠配准的能力与电子束直写(EBDW)曝光工具生产率之间的冲突。一个或多个实施例涉及当电子束曝光扫描仪工具在曝光其的晶圆上扫描时在晶圆上成千上万(如果需要的话)个位置实时地收集对准信息。在曝光之前不需要花费额外的时间来收集晶圆对准信息,同时提供任何其它曝光系统(例如,光学或极紫外(EUVL)光刻扫描仪)无法实现的重叠。
在实施例中,背散射电子(BSE)检测器安装在处于或者朝着面向曝光的晶圆的列端部的电子列(在多列EBDW曝光工具的情况下为多个电子列,每个列具有BSE检测器)内。应当理解,足够量的BSE(作为被金属和ILD特征(例如,包括对准标记)散射回的背散射次级电子的电子)的收集导致以下设置需求:(a)最小电子着陆能量(用于穿透抗蚀剂、硬掩模、ILD对准标记膜并返回到BSE检测器,其可以涉及相对高的电子束加速电压(例如,大于15keV,甚至高达50keV或更大)),(b)产生具有高信噪比的BSE信号的电子束电流被需要来对对准标记的位置信息进行准确评估,以及(c)快速且灵敏的BSE检测器。
在实施例中,当其上具有晶圆的EBDW台在电子列和其BSE检测器下进行扫描时,BSE检测器收集BSE。对准标记通过包括相对于原子序数材料彼此不同的交替特征的先前的处理操作来制造。当入射电子束照射时,这样的特征产生不同数量的BSE(例如,BSE的效率
Figure GDA0003107648140000101
示出了对照射材料原子序数Z的简单依赖关系
Figure GDA0003107648140000102
因此,基于单个嵌入特征以及由具有足够不同的原子序数Z的材料制成的周期性或非周期性特征的对准标记将在被EBDW曝光束照射时产生交替数量的BSE。交替数量的BSE使得能够相对于对准标记信号对比度进行检测和位置解释。在实施例中,在晶圆上放置多个对准标记使得能够收集这样的信号并用于在晶圆上的多个位置处的实时写入位置校正,而不用在EBDW曝光序列期间损失时间和EBDW工具的生产率。贯穿全文,本文描述的实施例可以涉及实时对准(alignment On The Fly)(AOTF)。
为了提供上下文,EBDW使用比其它曝光工具(参见光学或EUVL)小数十亿倍的曝光场。因此,EBDW具有询问能力(在曝光场时照射并从对准标记获取响应),而不会在晶圆划线内或产品管芯本身内曝光附近重要的特征,这取决于先前的工艺操作将对准标记放置的位置。这种功能在使用较大场来照射对准标记的任何其它光学或EUVL曝光工具上都不可用或者无法使其可用。
在实施例中,EBDW工具使用一行行小的矩形的单独控制的孔径。在示例中,图5例示了根据本发明的实施例的用于EBDW工具的孔径阵列(AA)500。参考图5,孔径阵列500允许电子束穿过通过电子束列或被列中的电子陷阱偏转和吸收。晶圆平面处每个个体孔径的尺寸都在电子束分辨率极限的数量级。在本文的示例中,每个个体孔径是9nm×9nm的矩形。
在实施例中,通过对用于对准的层上的晶圆的先前处理操作形成的对准标记可能看起来类似于例如图6中所示的对准标记。参考图6,EBDW工具台在传播通过孔径阵列(AA)500的工具列电子束下方沿Y方向移动。当EBDW工具台在传播通过孔径阵列(AA)的工具列电子束下方沿Y方向移动时,在晶圆上的抗蚀剂中“写入”潜像。
当在对准标记X对准特征602上投射AA孔径500的电子图像时,AA的图像被X中的电子列偏转器场扫掠。在示例中,对准标记X对准特征602包括X方向上的线(L)和间隔(S)的布置。如下面更详细地描述的,对准标记X对准特征602可以包括单个较长的线604,还如图6所示。
图7A-7C例示了根据本发明的实施例沿X移动AA的图像跨越X对准标记时的电子列X偏转器。参考图7A-7C,当来自500的AA电子图像沿X被扫过X对准标记602时,它依次照射散射条和分隔的空间。
图8A和8B例示了根据本发明的实施例的BSE检测器响应。在实施例中,随着AA的电子图像继续扫过X对准标记,将在电子列BSE检测器上产生下降的斜坡阶梯信号。时间分辨的BSE检测响应波形800(和最终的802)导致特征性上升斜坡阶梯波形,直到所有散射条被同时照射,分别如图8A和8B所示。
具有来自X对准标记的斜坡阶梯BSE检测器信号允许通过计算BSE检测器信号的导数来确定形成X对准标记以及整个X对准标记的每个特征的每个边缘的X位置,如图9中所示。
如上文针对图6的线604所提及的,在实施例中,X方向对准特征602还包括Y方向对准特性(aspect)。在一个这样的实施例中,Y方向对准特性是X方向对准特征的单个最长的线。
在实施例中,电子列Y偏转器用于沿着X对准标记上的相同位置扫掠由AA的图像产生的电子束。通常,在台沿Y方向移动时,执行多个X偏转器扫掠,以从给定的X对准标记收集最大数量的信息并出于信号/噪声增强的目的而采用信号平均化。对于Y对准标记,AA在台移动时是静态的。Y对准标记在传播通过AA 500的电子束下方移动。
当晶圆台继续沿Y移动并且X对准标记离开通过AA500照射的范围时,Y对准标记将进入AA的照射范围。如图10所示,不需要进行偏转以从由具有不同原子数Z的材料制成的单个条1000中收集对准信息。图10例示了根据本发明的实施例的Y对准标记的台移动。Y对准标记可以显示为与X对准标记相同但X对准标记旋转了90度。给定的Y对准标记高度h足够大于AA高度,所示出的布置是刀口技术(knife edge technique)的简单表示。BSE检测器信号的导数将是具有由Y标记边缘位置定义的参数的高斯函数。
应当理解,对准标记的示例在x方向上是周期性的,因为线具有相同的宽度并且线之间的间距具有相同的宽度。在其它实施例中,可以使用非周期性对准标记结构(在X方向上)。作为示例,图11例示了根据本发明的实施例的非周期性对准结构和对应的背散射电子(BSE)检测器响应。
参考图11,非周期性对准结构1100包括多条线(L)和空间(S)。在一个实施例中,线(L)的宽度跨越非周期性对准结构1100而变化。在一个实施例中,线(L)之间的间隔(S)的宽度跨越非周期性对准结构1100而变化。在一个实施例中,线(L)的宽度跨越非周期性对准结构1100而变化且线(L)之间的间隔(S)的宽度跨越非周期性对准结构1100而变化,如图11所示。再次参考图11,时间分辨的BSE检测响应波形1102与非周期性对准结构1100相关。
在另一方面,诸如上述对准标记之类的对准标记存在于完整的半导体管芯中。这种标记显著地小于常规光学对准标记。例如,本文考虑的对准标记可以处于比常规光学对准标记小约500倍的量级,例如纳米级而不是微米级。在实施例中,半导体管芯包括衬底。非光学对准标记被设置在衬底中或衬底上方。非光学对准标记包括X方向对准特征。这种非光学对准标记可以包括在管芯上的一个或多个嵌入单元内。也可以理解,这样的对准标记可以沿Y方向延长并且可以是基本上无断裂的(即,在本文考虑的对准标记中避免了光学对准标记中另外所使用的沿Y方向的大规模断裂)。
在一个实施例中,非光学对准标记的X方向对准特征是周期性的。在一个实施例中,非光学对准标记的X方向对准特征是非周期性的。在一个实施例中,非光学对准标记还包括Y方向对准特征。在一个实施例中,Y方向对准特征是X方向对准特征的单个最长的线。在一个实施例中,非光学对准标记被设置在衬底中。在一个实施例中,非光学对准标记设置在被设置在衬底上方的层中。
通常,应当理解,非常成熟的扫描电子显微镜领域使用BSE和针对BSE效率的不同材料之间的对比度,来产生电子束照射的特征的图像。根据本文的实施例,类似的物理机构用于EBDW工具的即时对准。在实施例中,由于电子束漂移或晶圆扩展或两者而需要对准。在实施例中,未对准信息用于前馈机构中电子束列的对准。
在示例性实施例中,电子束工具的精密对准的方法包括在沿Y方向移动晶圆时,将电子束列的多个孔径的电子图像投射在晶圆的X方向对准特征上。在投射期间检测时间分辨的背散射电子(BSE)检测响应波形。通过计算BSE检测响应波形的导数来确定X方向对准特征的每个特征的每个边缘的X位置。在确定X方向对准特征的每个特征的每个边缘的X位置之后,调整电子束列与晶圆的对准。
在一个实施例中,将电子束列的多个孔径的电子图像投射在晶圆的X方向对准特征上包括将电子图像投射在周期性的X方向对准特征上。在一个实施例中,将电子束列的多个孔径的电子图像投射在晶圆的X方向对准特征上包括将电子图像投射在非周期性X方向对准特征上。在一个实施例中,X方向对准特征还包括Y方向对准特性。该方法还包括确定X方向对准特征的Y位置。在一个实施例中,确定X方向对准特征的Y位置包括在沿Y方向移动晶圆时检测X方向对准特征的单个最长的线的位置。
再参考图4A-4C,在实施例中,电子束工具的列400包括用于提供电子束404的电子源402。限制孔径406沿着电子束的路径与电子源耦合。高纵横比的照射光学器件408沿着电子束404的路径与限制孔径406耦合。成形孔径416沿着电子束404的路径与高纵横比的照射光学器件408耦合。阻断器孔径阵列(BAA)418沿着电子束404的路径与成形孔径416耦合。最终孔径422沿着电子束404的路径与BAA 418耦合。包括样品台,以便接收电子束。包括电子检测器,以便在沿Y方向移动晶圆时从样品台上的晶圆的X方向对准特征中收集背散射电子。
在一个实施例中,电子检测器被配置为通过计算BSE检测响应波形的导数来确定X方向对准特征的每个特征的每个边缘的X位置。在一个实施例中,X方向对准特征还包括Y方向对准特性,并且电子检测器还被配置为确定X方向对准特征的Y位置。在一个实施例中,电子检测器被配置为调整电子束列与晶圆的对准。在一个实施例中,晶圆的X方向对准特征是周期性的X方向对准特征。在一个实施例中,晶圆的X方向对准特征是非周期性X方向对准特征。
更一般地,参考本发明的实施例的所有上述方面,应当理解,具有带线切口(或插塞)的线并具有相关联的过孔的金属化层可以被制造在衬底上方,并且在一个实施例中,可以被制造在先前的金属化层上方。作为示例,图12例示了根据本发明的实施例的先前层金属化结构的平面视图和对应的横截面视图。参考图12,起始结构1200包括金属线1202和层间电介质(ILD)线1204的图案。起始结构1200可以以栅格状图案被图案化,其中金属线以恒定的节距间隔开并且具有恒定的宽度,如图12所示。尽管未示出,但是线1202可以在沿着线的不同位置处具有中断(即,切口或插塞)。该图案例如可以通过如上所述的节距减半或节距四等分方法来制造。一些线可以与下面的过孔相关联,例如在横截面视图中作为示例示出的线1202'。
在实施例中,在图12的先前金属化结构上的金属化层的制造开始于在结构1200上方的层间电介质(ILD)材料的形成。然后可以在ILD层上形成硬掩模材料层。硬掩模材料层可以被图案化以形成与1200的线1202正交的单向线的栅格。在一个实施例中,使用常规光刻(例如,光致抗蚀剂和其它相关联的层)制造单向硬掩模线的栅格,并且其可以具有由如上所述的节距减半、节距四等分等方法所限定的线密度。硬掩模线的栅格留下下面的ILD层的曝光的栅格区域。ILD层的这些曝光部分最终被图案化以用于金属线形成、过孔形成和插塞形成。例如,在实施例中,使用如上所述的EBL在曝光的ILD的区域中对过孔位置进行图案化。图案化可以包括形成抗蚀剂层以及通过EBL对抗蚀剂层进行图案化,以提供可以被蚀刻到ILD区域中的过孔开口位置。覆盖硬掩模的线可以用于将过孔仅限制于曝光的ILD的区域,其中硬掩模线包含重叠,其可以有效地用作蚀刻停止部。在单独的EBL处理操作中,插塞(或切口)位置也可以被图案化在由上覆硬掩模线限制的ILD的曝光区域中。切口或插塞的制造有效地保留了将最终中断其中制造的金属线的ILD的区域。然后可以使用镶嵌方法来制造金属线,其中ILD的曝光部分(硬掩模线之间的且未被插塞保留层保护的那些部分,例如在“切割”或断开连续性期间被图案化的抗蚀剂层)部分地凹陷。凹陷可以进一步延伸过孔位置以从下面的金属化结构打开金属线。然后,例如通过电镀和CMP处理将部分凹陷的ILD区域填充有金属(也可能包括填充过孔位置的过程),以在上覆硬掩模线之间提供金属线。可以最终去除硬掩模线以完成金属化结构。应当理解,仅作为示例提供线切口、过孔形成和最终的线形成的上述顺序。如本文所述,可以使用EBL切口和过孔来适应各种处理方案。
在实施例中,如贯穿本说明书所使用的,层间电介质(ILD)材料由电介质或绝缘材料层构成或包括电介质或绝缘材料层。适当的电介质材料的示例包括但不限于硅的氧化物(例如,二氧化硅(SiO2))、硅的掺杂氧化物、硅的氟化氧化物、硅的碳掺杂的氧化物、本领域公知的各种低k电介质材料以及它们的组合。层间电介质材料可以通过常规技术(例如,举例来说,化学气相沉积(CVD)、物理气相沉积(PVD)或其它沉积方法)形成。
在实施例中,还如贯穿本说明书所使用的,互连件材料由一个或多个金属或其它导电结构组成。常见的例子是使用可以包括或不包括铜与周围的ILD材料之间的阻挡层的结构和铜线。如本文所使用的,术语金属包括合金、叠置体和多种金属的其它组合。例如,金属互连线可以包括阻挡层、不同金属或合金的叠置体等。互连线在本领域中有时也被称为迹线、引线、线、金属或简单的互连件。
在实施例中,还如贯穿本说明书所使用的,硬掩模材料由不同于层间电介质材料的电介质材料组成。在一些实施例中,硬掩模层包括硅的氮化物(例如,氮化硅)层或硅的氧化物层或两者或者它们的组合。其它适当的材料可以包括碳基材料。在另一实施例中,硬掩模材料包括金属种类。例如,硬掩模或其它上覆材料可以包括钛或另一种金属的氮化物层(例如,氮化钛)。在这些层中的一个或多个层中可以含有较少量的其它材料(例如,氧)。或者,可以使用本领域公知的其它硬掩模层,这取决于具体实施方式。硬掩模层可以通过CVD、PVD或通过其它沉积方法来形成。
应当理解,结合图12所描述的层和材料通常形成在下面的半导体衬底或结构(例如,集成电路的下面的器件层)上或上方。在实施例中,下面的半导体衬底表示用于制造集成电路的普通工件物。半导体衬底通常包括晶圆或其它硅片或另一种半导体材料。适当的半导体衬底包括但不限于单晶硅、多晶硅和绝缘体上硅(SOI)以及由其它半导体材料形成的类似衬底。取决于制造阶段,半导体衬底通常包括晶体管、集成电路等。衬底还可以包括半导体材料、金属、电介质、掺杂物以及半导体衬底中常见的其它材料。此外,图12中所示的结构可以制造在下面的下部层级互连层上。
在另一个实施例中,EBL切口可用于制造半导体器件,例如集成电路的PMOS或NMOS器件。在一个这样的实施例中,使用EBL切口来图案化最终用于形成基于鳍状物的结构或三栅极结构的有源区的栅格。在另一个这样的实施例中,EBL切口用于对最终用于栅极电极制造的栅极层(例如,多晶硅层)进行图案化。作为完整器件的示例,图13A和13B分别例示了根据本发明的实施例的具有多个鳍状物的非平面半导体器件的横截面视图和平面视图(沿横截面视图的a-a'轴截取)。
参考图13A,半导体结构或器件1300包括从衬底1302形成的并且在隔离区1306内的非平面有源区(例如,包括突出鳍状物部分1304和亚鳍状物区1305的鳍状物结构)。栅极线1308设置在非平面有源区的突出部分1304上方以及隔离区1306的一部分上方。如图所示,栅极线1308包括栅极电极1350和栅极电介质层1352。在一个实施例中,栅极线1308也可以包括电介质覆盖层1354。从该透视图还可以看到栅极接触部1314和上覆栅极接触部过孔1316以及上覆金属互连件1360,所有这些都设置在层间电介质叠置体或层1370中。还可以从图13A的透视图看到,在一个实施例中,栅极接触部1314设置在隔离区1306上方,但不在非平面有源区上方。
参考图13B,栅极线1308被示出为设置在突出鳍状物部分1304的上方。突出鳍状物部分1304的源极区和漏极区1304A和1304B可从该透视图看到。在一个实施例中,源极区和漏极区1304A和1304B是突出鳍状物部分1304的原始材料的掺杂部分。在另一个实施例中,突出鳍状物部分1304的材料被去除,并且例如通过外延沉积被另一种半导体材料代替。在任一种情况下,源极区和漏极区1304A和1304B可延伸到电介质层1306的高度以下,即延伸到亚鳍状物区1305中。
在实施例中,半导体结构或器件1300是非平面器件,例如但不限于鳍式FET或三栅极器件。在这样的实施例中,对应的半导体沟道区由三维体构成或形成在三维体中。在一个这样的实施例中,栅极线1308的栅极电极叠置体围绕三维体的至少顶表面和一对侧壁。
本文公开的实施例可以用于制造各种各样不同类型的集成电路和/或微电子器件。这种集成电路的示例包括但不限于处理器、芯片组部件、图形处理器、数字信号处理器、微控制器等。在其它实施例中,可以制造半导体存储器。此外,集成电路或其它微电子器件可以用于本领域公知的各种各样的电子器件中。例如,在计算机系统(例如,台式计算机、膝上型计算机、服务器)、蜂窝电话、个人电子器件等中。集成电路可以与系统中的总线和其它部件耦合。例如,处理器可以通过一个或多个总线耦合到存储器、芯片组等。处理器、存储器和芯片组中的每一个可以潜在地使用本文公开的方法来制造。
图14例示了根据本发明的一个实施方式的计算设备1400。计算设备1400容纳在板1402上。板1402可以包括多个部件,包括但不限于处理器1404和至少一个通信芯片1406。处理器1404物理耦合和电耦合到板1402。在一些实施方式中,至少一个通信芯片1406也物理耦合和电耦合到板1402。在另一些实施方式中,通信芯片1406是处理器1404的一部分。
取决于其应用,计算设备1400可以包括可以物理耦合和电耦合到板1402或可以不耦合到板1402的其它部件。这些其它部件包括但不限于易失性存储器(例如,DRAM)、非易失性存储器(例如,ROM)、闪速存储器、图形处理器、数字信号处理器、密码处理器、芯片组、天线、显示器、触摸屏显示器、触摸屏控制器、电池、音频编解码器、视频编解码器、功率放大器、全球定位系统(GPS)设备、罗盘、加速度计、陀螺仪、扬声器、相机和大容量存储设备(例如,硬盘驱动器、光盘(CD)、数字通用盘(DVD) 等)。
通信芯片1406实现了无线通信以向计算设备1400传送数据和从计算设备1400传送数据。术语“无线”及其派生词可用于描述可以通过使用借助非固态介质的经调制的电磁辐射来传送数据的电路、设备、系统、方法、技术、通信信道等。该术语并非暗示相关联的设备不包含任何引线,尽管在一些实施例中它们可能不包含任何引线。通信芯片1406可以实施多种无线标准或协议中的任何标准或协议,包括但不限于WiFi(IEEE 802.11系列)、WiMAX(IEEE 802.16系列)、IEEE802.20、长期演进(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、蓝牙、它们的衍生物、以及被指定为3G、4G、5G及以上的任何其它无线协议。计算设备1400可以包括多个通信芯片1406。例如,第一通信芯片1406可以专用于较短距离的无线通信(例如,Wi-Fi和蓝牙),并且第二通信芯片1406可以专用于较长距离的无线通信(例如,GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO等)。
计算设备1400的处理器1404包括被封装在处理器1404内的集成电路管芯。在本发明的一些实施方式中,处理器的集成电路管芯包括根据本发明的实施例的实施方式使用CEBL制造的一个或多个结构。术语“处理器”可以指代对来自寄存器和/或存储器的电子数据进行处理以将该电子数据转换为可储存在寄存器和/或存储器中的其它电子数据的设备或设备的部分。
通信芯片1406还包括封装在通信芯片1406内的集成电路管芯。根据本发明的实施例的另一实施方式,通信芯片的集成电路管芯包括根据本发明的实施例的实施方式使用CEBL制造的一个或多个结构。
在另外的实施方式中,容纳在计算设备1400内的另一个部件可以包含集成电路管芯,其包括根据本发明的实施例的实施方式使用CEBL制造的一个或多个结构。
在各个实施方式中,计算设备1400可以是膝上型计算机、上网本、笔记本、超级本、智能电话、平板电脑、个人数字助理(PDA)、超移动PC、移动电话、台式计算机、服务器、打印机、扫描仪、监视器、机顶盒、娱乐控制单元、数码相机、便携式音乐播放器或数字录像机。在另外的实施方式中,计算设备1400可以是处理数据的任何其它电子设备。
本发明的实施例可以被提供为可以包括其上存储有指令的机器可读介质的计算机程序产品或软件,其可以用于对计算机系统(或其它电子设备)进行编程以执行根据本发明的实施例的过程。在一个实施例中,计算机系统与诸如结合图4A-4C所描述的电子束工具之类的电子束工具耦合。机器可读介质包括用于以机器(例如,计算机)可读的形式储存或发送信息的任何机构。例如,机器可读(例如,计算机可读)介质包括机器(例如,计算机)可读储存介质(例如,只读存储器(“ROM”)、随机存取存储器(“RAM”)、磁盘储存介质、光学储存介质、闪存设备等)、机器(例如,计算机)可读传输介质(电、光、声或其它形式的传播信号(例如,红外信号、数字信号等))等。
图15例示了计算机系统1500的示例性形式的机器的示意图,其中可以执行用于使机器执行本文所述的任何一种或多种方法(例如,端点检测)的一组指令。在替代实施例中,机器可以用局域网(LAN)、内联网、外联网或互联网连接(例如,联网)到其它机器。该机器可以作为客户端-服务器网络环境中的服务器或客户端机器进行操作,或者作为对等(或分布式)网络环境中的对等机器进行操作。该机器可以是个人计算机(PC)、平板PC、机顶盒(STB)、个人数字助理(PDA)、蜂窝电话、网络设备、服务器、网络路由器、交换机或网桥或能够执行指定该机器所采取的特定动作的一组指令(顺序的或其它))的任何机器。此外,尽管仅例示了单个机器,但是术语“机器”还应被理解为包括单独地或共同地执行一组(或多组)指令以执行本文所述的方法中的任何一种或多种方法的机器(例如,计算机)的任何集合。
示例性计算机系统1500包括处理器1502、主存储器1504(例如,只读存储器(ROM)、闪速存储器、诸如同步DRAM(SDRAM)或Rambus DRAM(RDRAM)之类的动态随机存取存储器(DRAM))、静态存储器1506(例如,闪速存储器、静态随机存取存储器(SRAM)等)以及辅助存储器1518(例如,数据储存设备),这些存储器经由总线1530彼此进行通信。
处理器1502表示一个或多个通用处理设备(例如,微处理器、中央处理单元等)。更具体地,处理器1502可以是复杂指令集计算(CISC)微处理器、精简指令集计算(RISC)微处理器、超长指令字(VLIW)微处理器、实施其它指令集的处理器、或实施指令集的组合的处理器。处理器1502还可以是一个或多个专用处理设备,例如,专用集成电路(ASIC)、现场可编程门阵列(FPGA)、数字信号处理器(DSP)、网络处理器等。处理器1502被配置为执行用于执行本文所述的操作的处理逻辑3826。
计算机系统1500还可以包括网络接口设备1508。计算机系统1500还可以包括视频显示单元1510(例如,液晶显示器(LCD)、发光二极管显示器(LED)或阴极射线管(CRT))、字母数字输入设备1512(例如,键盘)、光标控制设备1514(例如,鼠标)以及信号发生设备1516(例如,扬声器)。
辅助存储器1518可以包括机器可访问的储存介质(或更具体地,计算机可读储存介质)1532,其上储存了实现本文描述的方法或功能中的任何一个或多个的一组或多组指令(例如,软件1522)。在由计算机系统1500执行期间,软件1522还可以完全地或至少部分地驻留在主存储器1504内和/或处理器1502内,主存储器1504和处理器1502还构成机器可读储存介质。软件1522还可以经由网络接口设备1508通过网络1520被发送或被接收。
尽管在示例性实施例中机器可访问的储存介质1532被示为单个介质,但是术语“机器可读储存介质”应当被视为包括储存一组或多组指令的单个介质或多个介质(例如,集中式或分布式数据库和/或相关联的高速缓存和服务器)。术语“机器可读储存介质”还应被理解为包括能够储存或编码一组指令,该组指令用于由机器执行并使机器执行本发明的方法中的任何一种或多种方法。术语“机器可读储存介质”因此应当被理解为包括但不限于固态存储器以及光和磁介质。
在实施例中,非暂时性机器可访问储存介质具有被存储在其上的指令,该指令使得数据处理系统执行对位于电子束工具的台上的晶圆进行实时对准的方法。该方法包括在沿Y方向移动晶圆时,在晶圆的X方向对准特征上投射电子束列的多个孔径的电子图像。该方法还包括在投射期间检测时间分辨的背散射电子(BSE)检测响应波形。该方法还包括通过计算BSE检测响应波形的导数来确定X方向对准特征的每个特征的每个边缘的X位置。该方法还包括在确定X方向对准特征的每个特征的每个边缘的X位置之后,调整电子束列与晶圆的对准。
在一个实施例中,在晶圆的X方向对准特征上投射电子束列的多个孔径的电子图像包括在周期性的X方向对准特征上投射该电子图像。在一个实施例中,在晶圆的X方向对准特征上投射电子束列的多个孔径的电子图像包括在非周期性的X方向对准特征上投射该电子图像。在一个实施例中,X方向对准特征还包括Y方向对准特性。该方法还包括确定X方向对准特征的Y位置。在一个实施例中,确定X方向对准特征的Y位置包括在沿Y方向移动晶圆时检测X方向对准特征的单个最长线的位置。
可以在诸如半导体衬底之类的衬底上形成或执行本发明的实施例的实施方式。在一个实施方式中,半导体衬底可以是使用体硅或绝缘体上硅子结构形成的晶体衬底。在其它实施方式中,半导体衬底可以使用可以与硅组合或可以不与硅组合的替代材料形成,该替代材料包括但不限于锗、锑化铟、碲化铅、砷化铟、磷化铟、砷化镓、铟镓砷化物、锑化镓或III-V族或IV族材料的其它组合。尽管本文描述了可用于形成衬底的材料的几个示例,但是可以用作可以在其上构建半导体器件的基础的任何材料落入本发明的精神和范围内。
可以在衬底上制造诸如金属氧化物半导体场效应晶体管(MOSFET或简称为MOS晶体管)之类的多个晶体管。在本发明的各个实施方式中,MOS晶体管可以是平面晶体管、非平面晶体管或两者的组合。非平面晶体管包括诸如双栅极晶体管和三栅极晶体管之类的FinFET晶体管、以及诸如纳米带和纳米线晶体管之类的围栅晶体管或环栅晶体管。尽管本文描述的实施方式可以仅例示平面晶体管,但是应当注意,本发明也可以使用非平面晶体管来执行。
每个MOS晶体管包括由至少两层(栅极电介质层和栅极电极层)形成的栅极叠置体。栅极电介质层可以包括一层或层的叠置体。该一层或多层可以包括氧化硅、二氧化硅(SiO2)和/或高k电介质材料。高k电介质材料可以包括诸如铪、硅、氧、钛、钽、镧、铝、锆、钡、锶、钇、铅、钪、铌和锌之类的元素。可以用在栅极电介质层中的高k材料的示例包括但不限于氧化铪、铪硅氧化物、氧化镧、镧铝氧化物、氧化锆、锆硅氧化物、氧化钽、氧化钛、钡锶钛氧化物、钡钛氧化物、锶钛氧化物、氧化钇、氧化铝、铅钪钽氧化物和铅锌铌酸盐。在一些实施例中,可以在栅极电介质层上进行退火工艺,以在使用高k材料时提高其质量。
栅极电极层形成在栅极电介质层上,并且可以由至少一种P型功函数金属或N型功函数金属构成,这取决于晶体管是PMOS还是NMOS晶体管。在一些实施方式中,栅极电极层可以由两个或更多个金属层的叠置体构成,其中一个或多个金属层是功函数金属层,并且至少一个金属层是填充金属层。
对于PMOS晶体管,可用于栅极电极的金属包括但不限于钌、钯、铂、钴、镍和导电金属氧化物(例如,氧化钌)。P型金属层将使得能够形成具有在约4.9eV至约5.2eV之间的功函数的PMOS栅极电极。对于NMOS晶体管,可用于栅极电极的金属包括但不限于铪、锆、钛、钽、铝、这些金属的合金、以及这些金属的碳化物(例如,碳化铪、碳化锆、碳化钛、碳化钽和碳化铝)。N型金属层将使得能够形成具有在约3.9eV至约4.2eV之间的功函数的NMOS栅极电极。
在一些实施方式中,栅极电极可以由“U”形结构构成,该“U”形结构包括基本上平行于衬底的表面的底部部分以及基本上垂直于衬底的顶表面的两个侧壁部分。在另一实施方式中,形成栅极电极的金属层中的至少一层可以简单地是基本上平行于衬底的顶表面的平面层,并且不包括基本上垂直于衬底的顶表面的侧壁部分。在本发明的另外的实施方式中,栅极电极可以由U形结构和平面的非U形结构的组合构成。例如,栅极电极可以由在一个或多个平面的非U形层顶部形成的一个或多个U形金属层构成。
在本发明的一些实施方式中,一对侧壁间隔体可以形成在栅极叠置体的对侧上,其支撑着栅极叠置体。侧壁间隔体可以由诸如氮化硅、氧化硅、碳化硅、掺杂有碳的氮化硅和氮氧化硅之类的材料形成。用于形成侧壁间隔体的工艺是本领域公知的,并且通常包括沉积和蚀刻工艺步骤。在替代实施方式中,可以使用多个间隔体对,例如,两对、三对或四对侧壁间隔体可以形成在栅极叠置体的对侧上。
如本领域公知的,源极区和漏极区形成在与每个MOS晶体管的栅极叠置体相邻的衬底内。源极区和漏极区通常使用注入/扩散工艺或蚀刻/沉积工艺形成。在前一种工艺中,可以将诸如硼、铝、锑、磷或砷之类的掺杂物离子注入到衬底中以形成源极区和漏极区。激活掺杂物并使它们进一步扩散到衬底中的退火工艺通常在离子注入工艺之后。在后一种工艺中,可以首先蚀刻衬底以在源极区和漏极区的位置处形成凹陷部。然后可以进行外延沉积工艺,以利用用于制造源极区和漏极区的材料来填充凹陷部。在一些实施方式中,源极区和漏极区可以使用诸如硅锗或碳化硅之类的硅合金来制造。在一些实施方式中,外延沉积的硅合金可以用诸如硼、砷或磷之类的掺杂物进行原位掺杂。在另外的实施例中,源极区和漏极区可以使用一种或多种替代的半导体材料(例如,锗或III-V族材料或合金)形成。并且在另外的实施例中,可以使用一层或多层金属和/或金属合金来形成源极区和漏极区。
一个或多个层间电介质(ILD)被沉积在MOS晶体管上方。可以使用公知适用于集成电路结构的电介质材料(例如,低k电介质材料)来形成ILD层。可以使用的电介质材料的示例包括但不限于二氧化硅(SiO2)、碳掺杂的氧化物(CDO)、氮化硅、诸如全氟环丁烷或聚四氟乙烯之类的有机聚合物、氟硅酸盐玻璃(FSG)和诸如倍半硅氧烷、硅氧烷之类的有机硅酸盐或有机硅酸盐玻璃。ILD层可以包括小孔或气隙以进一步减小其介电常数。
图16例示了包括本发明的一个或多个实施例的内插件1600。内插件1600是用于将第一衬底1602桥接到第二衬底1604的居间衬底。第一衬底1602可以是例如集成电路管芯。第二衬底1604可以是例如存储器模块、计算机母板或另一集成电路管芯。通常,内插件1600的目的是将连接扩展到更宽的节距或将连接重新路由到不同连接。例如,内插件1600可以将集成电路管芯耦合到球栅阵列(BGA)1606,球栅阵列(BGA)1606随后可以耦合到第二衬底1604。在一些实施例中,第一和第二衬底1602/1604附接到内插件1600的对侧。在其它实施例中,第一和第二衬底1602/1604附接到内插件1600的同一侧。在另外的实施例中,三个或更多个衬底通过内插件1600互连。
内插件1600可以由环氧树脂、玻璃纤维增强的环氧树脂、陶瓷材料或诸如聚酰亚胺之类的聚合物材料形成。在其它实施方式中,内插件可以由交替的刚性或柔性材料形成,该交替的刚性或柔性材料可以包括上述用于半导体衬底(例如,硅、锗、以及其它III-V族和IV族材料)中的相同材料。
内插件可以包括金属互连件1608和过孔1610(包括但不限于穿硅过孔(TSV)1612)。内插件1600还可以包括嵌入式器件1614(包括无源器件和有源器件)。这样的器件包括但不限于电容器、解耦电容器、电阻器、电感器、保险丝、二极管、变压器、传感器和静电放电(ESD)器件。也可以在内插件1600上形成更复杂的器件,例如射频(RF)器件、功率放大器、功率管理器件、天线、阵列、传感器和MEMS器件。
根据本发明的实施例,本文公开的装置或工艺可用于制造内插件1600。
图17例示了根据本发明的一个实施例的计算设备1700。计算设备1700可以包括多个部件。在一个实施例中,这些部件附接到一个或多个母板。在替代实施例中,这些部件被制造在单个片上系统(SoC)管芯而不是母板上。计算设备1700中的部件包括但不限于集成电路管芯1702和至少一个通信芯片1708。在一些实施方式中,通信芯片1708被制造为集成电路管芯1702的一部分。集成电路管芯1702可以包括CPU 1704以及通常用作高速缓冲存储器的管芯上存储器1706,其可以由诸如嵌入式DRAM(eDRAM)或自旋转移扭矩存储器(STTM或STTM-RAM)之类的技术提供。
计算设备1700可以包括可以物理耦合和电耦合到母板或可以不耦合到母板或者在SoC管芯内制造的其它部件。这些其它部件包括但不限于易失性存储器1710(例如,DRAM)、非易失性存储器1712(例如,ROM或闪速存储器)、图形处理单元1714(GPU)、数字信号处理器1716、密码处理器1742(在硬件内执行密码算法的专用处理器)、芯片组1720、天线1722、显示器或触摸屏显示器1724、触摸屏控制器1726、电池1729或其它电源、功率放大器(未示出)、全球定位系统(GPS)设备1728、罗盘1730、运动协处理器或传感器1732(其可以包括加速度计、陀螺仪和罗盘)、扬声器1734、相机101736、用户输入设备1738(例如,键盘、鼠标、触控笔和触摸板)和大容量储存设备1740(例如,硬盘驱动器、光盘(CD)、数字多功能盘(DVD)等)。
通信芯片1708实现了无线通信以向计算设备1700传送数据和从计算设备1700传送数据。术语“无线”及其派生词可用于描述可以通过使用借助非固态介质的经调制的电磁辐射来传送数据的电路、设备、系统、方法、技术、通信信道等。该术语并非暗示相关联的设备不包含任何引线,尽管在一些实施例中它们可能不包含任何引线。通信芯片1708可以实施多种无线标准或协议中的任何标准或协议,包括但不限于WiFi(IEEE 802.11系列)、WiMAX(IEEE 802.16系列)、IEEE802.20、长期演进(LTE)、Ev-DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM、GPRS、CDMA、TDMA、DECT、蓝牙、它们的衍生物、以及被指定为3G、4G、5G及以上的任何其它无线协议。计算设备1700可以包括多个通信芯片1708。例如,第一通信芯片1708可以专用于较短距离的无线通信(例如,Wi-Fi和蓝牙),并且第二通信芯片1708可以专用于较长距离的无线通信(例如,GPS、EDGE、GPRS、CDMA、WiMAX、LTE、Ev-DO等)。
计算设备1700的处理器1704包括根据本发明的实施例的实施方式使用CEBL制造的一个或多个结构。术语“处理器”可以指代对来自寄存器和/或存储器的电子数据进行处理以将该电子数据转换为可储存在寄存器和/或存储器中的其它电子数据的设备或设备的部分。
通信芯片1708还可以包括根据本发明的实施例的实施方式使用CEBL制造的一个或多个结构。
在另外的实施例中,容纳在计算设备1700内的另一部件可以包含根据本发明的实施例的实施方式使用CEBL制造的一个或多个结构。
在各个实施方式中,计算设备1700可以是膝上型计算机、上网本计算机、笔记本计算机、超级本计算机、智能电话、平板电脑、个人数字助理(PDA)、超移动PC、移动电话、台式计算机、服务器、打印机、扫描仪、监视器、机顶盒、娱乐控制单元、数码相机、便携式音乐播放器或数字录像机。在另外的实施方式中,计算设备1700可以是处理数据的任何其它电子设备。
对例示的本发明的实施例的实施方式的上述描述,包括摘要中所描述的内容,并非旨在是穷尽的或将本发明限制于所公开的精确形式。尽管出于说明的目的在本文中描述了本发明的具体实施方式和示例,但是如本领域技术人员将认识到的,在本发明的范围内各种等效修改是可能的。
根据以上具体实施方式,可以对本发明进行这些修改。所附权利要求中使用的术语不应被解释为将本发明限制于说明书和权利要求书中所公开的具体实施方式。相反,本发明的范围将完全由所附权利要求来确定,根据已确立的权利要求的解释原则来解释权利要求书。
在实施例中,一种电子束工具的精密对准的方法,包括:在沿Y方向移动晶圆时,在晶圆的X方向对准特征上投射电子束列的多个孔径的电子图像。该方法还包括在投射期间检测时间分辨的背散射电子(BSE)检测响应波形。该方法还包括通过计算BSE检测响应波形的导数来确定X方向对准特征的每个特征的每个边缘的X位置。该方法还包括在确定X方向对准特征的每个特征的每个边缘的X位置之后,调整电子束列与晶圆的对准。
在一个实施例中,在晶圆的X方向对准特征上投射电子束列的多个孔径的电子图像包括在周期性的X方向对准特征上投射电子图像。
在一个实施例中,在晶圆的X方向对准特征上投射电子束列的多个孔径的电子图像包括在非周期性的X方向对准特征上投射电子图像。
在一个实施例中,X方向对准特征还包括Y方向对准特性。该方法还包括确定X方向对准特征的Y位置。
在一个实施例中,确定X方向对准特征的Y位置包括在沿着Y方向移动晶圆时检测X方向对准特征的单个最长线的位置。
在实施例中,一种用于电子束工具的列,包括用于提供电子束的电子源。限制孔径,其沿着电子束的路径与电子源耦合。高纵横比照明光学器件,其沿着电子束的路径与限制孔径耦合。成形孔径,其沿着电子束的路径与高纵横比照明光学器件耦合。阻断器孔径阵列(BAA),其沿着电子束的路径与成形孔径耦合。最终孔径,其沿着电子束的路径与BAA耦合。包括样品台,其用于接收电子束。包括电子检测器,其用于在沿着Y方向移动晶圆时从样品台上的晶圆的X-方向对准特征收集背散射电子。
在一个实施例中,电子检测器被配置为通过计算BSE检测响应波形的导数来确定X方向对准特征的每个特征的每个边缘的X位置。
在一个实施例中,X方向对准特征还包括Y方向对准特性,并且电子检测器还被配置为确定X方向对准特征的Y位置。
在一个实施例中,电子检测器被配置为调整电子束列与晶圆的对准。
在一个实施例中,晶圆的X方向对准特征是周期性的X方向对准特征。
在一个实施例中,晶圆的X方向对准特征是非周期性的X方向对准特征。
在实施例中,一种半导体管芯,包括衬底。非光学对准标记设置在衬底中或设置在衬底上方。非光学对准标记包括X方向对准特征。
在一个实施例中,非光学对准标记的X方向对准特征是周期性的。
在一个实施例中,非光学对准标记的X方向对准特征是非周期性的。
在一个实施例中,非光学对准标记还包括Y方向对准特征。
在一个实施例中,Y方向对准特征是X方向对准特征的单个最长线。
在一个实施例中,非光学对准标记设置在衬底中。
在一个实施例中,非光学对准标记设置在位于衬底上方的层中。
在一个实施例中,一种非暂时性机器可访问储存介质,具有储存在其上的指令,该指令使得数据处理系统执行对位于电子束工具的台上的晶圆的实时对准的方法。该方法包括在沿着Y方向移动晶圆时,在晶圆的X方向对准特征上投射电子束列的多个孔径的电子图像。该方法还包括在投射期间检测时间分辨的背散射电子(BSE)检测响应波形。该方法还包括通过计算BSE检测响应波形的导数来确定X方向对准特征的每个特征的每个边缘的X位置。该方法还包括在确定X方向对准特征的每个特征的每个边缘的X位置之后,调整电子束列与晶圆的对准。
在一个实施例中,在晶圆的X方向对准特征上投射电子束列的多个孔径的电子图像包括在周期性的X方向对准特征上投射电子图像。
在一个实施例中,在晶圆的X方向对准特征上投射电子束列的多个孔径的电子图像包括在非周期的X方向对准特征上投射电子图像。
在一个实施例中,X方向对准特征还包括Y方向对准特性。该方法还包括确定X方向对准特征的Y位置。
在一个实施例中,确定X方向对准特征的Y位置包括在沿着Y方向移动晶圆时检测X方向对准特征的单个最长线的位置。

Claims (17)

1.一种电子束工具的精密对准的方法,所述方法包括:
在沿着Y方向移动晶圆时,在所述晶圆的X方向对准特征上投射电子束列的多个孔径的电子图像,所述X方向对准特征还包括Y方向对准特性;
在所述投射期间检测时间分辨的背散射电子(BSE)检测响应波形;
通过计算所述背散射电子检测响应波形的导数来确定所述X方向对准特征的每个特征的每个边缘的X位置;
确定所述X方向对准特征的Y位置;以及
在确定所述X方向对准特征的每个特征的每个边缘的X位置之后,调整所述电子束列与所述晶圆的对准,
其中,确定所述X方向对准特征的所述Y位置包括:在沿着所述Y方向移动所述晶圆时检测所述X方向对准特征的单个最长线的位置。
2.根据权利要求1所述的方法,其中,在所述晶圆的所述X方向对准特征上投射所述电子束列的所述多个孔径的所述电子图像包括:在周期性的X方向对准特征上投射所述电子图像。
3.根据权利要求1所述的方法,其中,在所述晶圆的所述X方向对准特征上投射所述电子束列的所述多个孔径的所述电子图像包括:在非周期性的X方向对准特征上投射所述电子图像。
4.一种用于电子束工具的列,所述列包括:
电子源,所述电子源用于提供电子束;
限制孔径,所述限制孔径沿着所述电子束的路径与所述电子源耦合;
高纵横比照明光学器件,所述高纵横比照明光学器件沿着所述电子束的所述路径与所述限制孔径耦合;
成形孔径,所述成形孔径沿着所述电子束的所述路径与所述高纵横比照明光学器件耦合;
阻断器孔径阵列(BAA),所述阻断器孔径阵列(BAA)沿着所述电子束的所述路径与所述成形孔径耦合;
最终孔径,所述最终孔径沿着所述电子束的所述路径与所述阻断器孔径阵列耦合;
样品台,所述样品台用于接收所述电子束;以及
电子检测器,所述电子检测器用于在沿着Y方向移动晶圆时从所述样品台上的所述晶圆的X方向对准特征收集背散射电子。
5.根据权利要求4所述的列,其中,所述电子检测器被配置为通过计算背散射电子检测响应波形的导数来确定所述X方向对准特征的每个特征的每个边缘的X位置。
6.根据权利要求5所述的列,其中,所述X方向对准特征还包括Y方向对准特性,并且所述电子检测器还被配置为确定所述X方向对准特征的Y位置。
7.根据权利要求5所述的列,其中,所述电子检测器被配置为调整所述电子束列与所述晶圆的对准。
8.根据权利要求4所述的列,其中,所述晶圆的所述X方向对准特征是周期性的X方向对准特征。
9.根据权利要求4所述的列,其中,所述晶圆的所述X方向对准特征是非周期性的X方向对准特征。
10.一种半导体管芯,包括:
衬底;以及
非光学对准标记,所述非光学对准标记设置在所述衬底中或设置在所述衬底上方,所述非光学对准标记包括X方向对准特征和Y方向对准特征,其中,所述Y方向对准特征是所述X方向对准特征的单个最长线。
11.根据权利要求10所述的半导体管芯,其中,所述非光学对准标记的所述X方向对准特征是周期性的。
12.根据权利要求10所述的半导体管芯,其中,所述非光学对准标记的所述X方向对准特征是非周期性的。
13.根据权利要求10所述的半导体管芯,其中,所述非光学对准标记设置在所述衬底中。
14.根据权利要求10所述的半导体管芯,其中,所述非光学对准标记设置在位于所述衬底上方的层中。
15.一种非暂时性机器可访问储存介质,所述非暂时性机器可访问储存介质具有被储存在其上的指令,所述指令使得数据处理系统执行位于电子束工具的台上的晶圆的实时对准的方法,所述方法包括:
在沿着Y方向移动晶圆时,在所述晶圆的X方向对准特征上投射电子束列的多个孔径的电子图像,所述X方向对准特征还包括Y方向对准特性;
在所述投射期间检测时间分辨的背散射电子(BSE)检测响应波形;
通过计算所述背散射电子检测响应波形的导数来确定所述X方向对准特征的每个特征的每个边缘的X位置;
确定所述X方向对准特征的Y位置;以及
在确定所述X方向对准特征的每个特征的每个边缘的X位置之后,调整所述电子束列与所述晶圆的对准,
其中,确定所述X方向对准特征的所述Y位置包括在沿着所述Y方向移动所述晶圆时检测所述X方向对准特征的单个最长线的位置。
16.根据权利要求15所述的储存介质,其中,在所述晶圆的所述X方向对准特征上投射所述电子束列的所述多个孔径的所述电子图像包括:在周期性的X方向对准特征上投射所述电子图像。
17.根据权利要求15所述的储存介质,其中,在所述晶圆的所述X方向对准特征上投射所述电子束列的所述多个孔径的所述电子图像包括:在非周期性的X方向对准特征上投射所述电子图像。
CN201580078094.7A 2015-04-21 2015-09-18 用于电子束曝光系统的精密对准系统 Active CN107431037B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201562150728P 2015-04-21 2015-04-21
US62/150,728 2015-04-21
PCT/US2015/051031 WO2016171754A1 (en) 2015-04-21 2015-09-18 Fine alignment system for electron beam exposure system

Publications (2)

Publication Number Publication Date
CN107431037A CN107431037A (zh) 2017-12-01
CN107431037B true CN107431037B (zh) 2021-10-29

Family

ID=57143327

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201580078094.7A Active CN107431037B (zh) 2015-04-21 2015-09-18 用于电子束曝光系统的精密对准系统

Country Status (6)

Country Link
US (1) US10236161B2 (zh)
EP (1) EP3286778A4 (zh)
KR (1) KR102562134B1 (zh)
CN (1) CN107431037B (zh)
TW (1) TW201703096A (zh)
WO (1) WO2016171754A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7219334B2 (ja) 2018-11-02 2023-02-07 アプライド マテリアルズ イスラエル リミテッド 3d-nand cdsem計測学のための方法、システムおよびコンピュータプログラム製品
JP7463154B2 (ja) * 2020-03-24 2024-04-08 株式会社Screenホールディングス 描画装置、データ処理装置、描画方法、および描画データ生成方法
CN113093485B (zh) * 2021-04-02 2022-06-14 长鑫存储技术有限公司 曝光对准的补偿方法及补偿系统

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000252198A (ja) * 1999-03-02 2000-09-14 Advantest Corp 荷電ビーム露光装置
US6261726B1 (en) * 1999-12-06 2001-07-17 International Business Machines Corporation Projection electron-beam lithography masks using advanced materials and membrane size
US20020004283A1 (en) * 1999-12-07 2002-01-10 Boulin David M. Method of forming an alignment feature in or on a multi-layered semiconductor structure
US20090176167A1 (en) * 2007-12-31 2009-07-09 Asml Netherlands B.V. Alignment System and Alignment Marks for Use Therewith
US20120164583A1 (en) * 2010-12-27 2012-06-28 Canon Kabushiki Kaisha Drawing apparatus and method of manufacturing article
CN103858211A (zh) * 2011-10-03 2014-06-11 株式会社Param 电子束光刻装置以及光刻方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4056730A (en) * 1976-07-12 1977-11-01 International Business Machines Corporation Apparatus for detecting registration marks on a target such as a semiconductor wafer
IL97021A0 (en) * 1991-01-24 1992-03-29 Ibm Israel Partitioning method for e-beam lithography
JP2806242B2 (ja) * 1993-12-27 1998-09-30 日本電気株式会社 電子線露光の位置合わせマークおよび電子線露光の位置合わせマークの検出方法
US5570405A (en) * 1995-06-06 1996-10-29 International Business Machines Corporation Registration and alignment technique for X-ray mask fabrication
US6262425B1 (en) * 1999-03-11 2001-07-17 International Business Machines Corporation Curvilinear axis set-up for charged particle lithography
US6414313B1 (en) * 1999-06-01 2002-07-02 Nikon Corporation Multiple numerical aperture electron beam projection lithography system
JP4741115B2 (ja) * 2000-08-14 2011-08-03 イーリス エルエルシー リソグラフィ投影装置およびデバイス製造方法
US7397039B2 (en) * 2005-09-30 2008-07-08 Applied Materials, Inc. Real-time compensation of mechanical position error in pattern generation or imaging applications
JP2009182269A (ja) * 2008-01-31 2009-08-13 Toshiba Corp 荷電ビーム露光装置及び露光方法
US9091935B2 (en) * 2013-03-11 2015-07-28 Kla-Tencor Corporation Multistage extreme ultra-violet mask qualification
US9177758B2 (en) * 2013-03-25 2015-11-03 Hermes Microvision Inc. Charged particle beam apparatus
US10325756B2 (en) * 2016-06-13 2019-06-18 Ims Nanofabrication Gmbh Method for compensating pattern placement errors caused by variation of pattern exposure density in a multi-beam writer

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000252198A (ja) * 1999-03-02 2000-09-14 Advantest Corp 荷電ビーム露光装置
US6261726B1 (en) * 1999-12-06 2001-07-17 International Business Machines Corporation Projection electron-beam lithography masks using advanced materials and membrane size
US20020004283A1 (en) * 1999-12-07 2002-01-10 Boulin David M. Method of forming an alignment feature in or on a multi-layered semiconductor structure
US20090176167A1 (en) * 2007-12-31 2009-07-09 Asml Netherlands B.V. Alignment System and Alignment Marks for Use Therewith
US20120164583A1 (en) * 2010-12-27 2012-06-28 Canon Kabushiki Kaisha Drawing apparatus and method of manufacturing article
CN103858211A (zh) * 2011-10-03 2014-06-11 株式会社Param 电子束光刻装置以及光刻方法

Also Published As

Publication number Publication date
EP3286778A1 (en) 2018-02-28
US10236161B2 (en) 2019-03-19
CN107431037A (zh) 2017-12-01
KR20170141653A (ko) 2017-12-26
WO2016171754A1 (en) 2016-10-27
US20180033593A1 (en) 2018-02-01
EP3286778A4 (en) 2019-04-03
KR102562134B1 (ko) 2023-08-02
TW201703096A (zh) 2017-01-16

Similar Documents

Publication Publication Date Title
CN106537556B (zh) 利用电子束通用切具的交叉扫描接近度校正
JP6555620B2 (ja) 電子ビームスタッガードビームアパーチャアレイ
CN106463352B (zh) 借助于电子束的层上单向金属
JP6462720B2 (ja) 電子ビームの3ビームアパーチャアレイ
CN106463349B (zh) 电子束非通用切具
CN106463353B (zh) 电子束通用切割件
CN107431037B (zh) 用于电子束曝光系统的精密对准系统
KR102385705B1 (ko) 전자빔 직접 기입(ebdw) 리소그래피를 위한 하부 흡수 층 또는 전도 층
CN106463347B (zh) 即时电子束对准
CN106463348B (zh) 用于电子束吞吐量的数据压缩
CN106716597B (zh) 用于电子束(ebeam)直接写入系统的圆角化校正
US10395883B2 (en) Aperture size modulation to enhance ebeam patterning resolution
US20230369207A1 (en) Inline circuit edit
US11581162B2 (en) Fill pattern to enhance ebeam process margin
US20230369221A1 (en) Inline circuit edit for backside power delivery with deep via
US20230369206A1 (en) Embedded routing layer for inline circuit edit
US20230369222A1 (en) Inline circuit edit for backside power delivery
WO2019066827A1 (en) CHARACTERISTICS AND APPROACHES TO GRID ASSISTANCE FOR ELECTRON BEAM DIRECT WRITE LITHOGRAPHY (EBDW)

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant