CN107430992B - Pulsed plasma for film deposition - Google Patents

Pulsed plasma for film deposition Download PDF

Info

Publication number
CN107430992B
CN107430992B CN201680014686.7A CN201680014686A CN107430992B CN 107430992 B CN107430992 B CN 107430992B CN 201680014686 A CN201680014686 A CN 201680014686A CN 107430992 B CN107430992 B CN 107430992B
Authority
CN
China
Prior art keywords
plasma source
pulsed
remote plasma
substrate
period
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201680014686.7A
Other languages
Chinese (zh)
Other versions
CN107430992A (en
Inventor
薛君
卢多维克·戈代
斯里尼瓦斯·内曼尼
迈克尔·W·斯托厄尔
起威·梁
小道格拉斯·A·布池贝尔格尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN107430992A publication Critical patent/CN107430992A/en
Application granted granted Critical
Publication of CN107430992B publication Critical patent/CN107430992B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

Methods of processing a substrate are provided herein. In some embodiments, a method of processing a substrate disposed in a process chamber includes: (a) depositing a layer of material on a substrate by exposing the substrate to a first reactive species generated by a remote plasma source and a first precursor, wherein the first reactive species reacts with the first precursor; and (b) treating all or substantially all of the deposited material layer by exposing the substrate to a plasma generated by a second plasma source within the processing chamber; wherein at least one of the remote plasma source or the second plasma source is pulsed to control the deposition cycle and the processing cycle.

Description

Pulsed plasma for film deposition
Technical Field
Embodiments of the present disclosure relate generally to deposition processes, such as Chemical Vapor Deposition (CVD), and more particularly to improvements in the deposition of materials on substrates.
Background
Integrated Circuits (ICs) have evolved into complex circuitry that may include millions of components on a chip. The evolution of chip designs continues to demand faster circuitry and greater circuit density. The demand for greater circuit density in turn requires a reduction in the size of the integrated circuit components, including a reduction in the size of the features comprising the integrated circuit components.
The reduced feature sizes of integrated circuit components have presented new problems in the manufacture of these components. Smaller features tend to have larger aspect ratios, making the features more difficult to fill with material deposited during subsequent processing. Furthermore, feature size reduction also limits the overall length of time that an integrated circuit can withstand higher temperature (e.g., greater than 450 ℃) processes, which is referred to as the thermal budget. The reduced thermal budget limits the time that the deposition material is allowed to reflow to fill higher aspect ratio features.
Therefore, processes have been developed to deposit films with greater flow capability. However, such deposited films often use post-deposition treatments to improve the quality of the deposited film. The inventors have noted that additional post-deposition processing tends to be performed at higher temperatures and undesirably consumes a portion of the thermal budget, thereby reducing the residual thermal budget available in other steps of the fabrication of the integrated circuit. The present inventors have further noted that post-deposition processing tends to involve higher energy processes that can damage the structure of device features.
Accordingly, the present inventors have provided improved methods for depositing materials with improved film quality on a substrate.
Disclosure of Invention
Methods of processing a substrate are provided herein. In some embodiments, a method of processing a substrate disposed in a processing chamber includes: (a) depositing a layer of material on a substrate by exposing the substrate to a reactive species generated by a remote plasma source and a first precursor, wherein the reactive species reacts with the first precursor; and (b) treating all or substantially all of the deposited material layer by exposing the substrate to a plasma generated by a second plasma source within the processing chamber; wherein at least one of the remote plasma source or the second plasma source is pulsed to control deposition and processing cycles.
In some embodiments, a method of depositing a material on a substrate disposed atop a substrate support pedestal includes: (a) depositing a layer of material on a substrate by exposing the substrate to a reactive species generated by a remote plasma source and a first precursor, wherein the reactive species reacts with the first precursor; (b) treating all or substantially all of the deposited material layer by exposing the substrate to a plasma generated by a second plasma source within the processing chamber; wherein the remote plasma source is pulsed for a first period of time and the second plasma source is pulsed for a second period of time concurrent with the first period of time; and (c) repeating (a) and (b) until a predetermined thickness of material is deposited and processed on the substrate, wherein the temperature of the substrate support pedestal is controlled from about-150 ℃ to about 500 ℃ during (a) to (c).
In some embodiments, a non-transitory computer readable medium has instructions stored therein that, when executed, cause performance of a method for processing a substrate disposed on top of a substrate support pedestal in a processing chamber. The method may include any of the embodiments disclosed herein.
Other and further embodiments of the present disclosure are described below.
Drawings
Embodiments of the present disclosure are briefly summarized above and discussed in more detail below, which can be understood by referring to illustrative embodiments of the present disclosure shown in the drawings. However, the appended drawings illustrate only typical embodiments of this disclosure and are therefore not to be considered limiting of its scope, for the disclosure may admit to other equally effective embodiments.
Fig. 1 is a flow diagram of a method for processing a substrate in accordance with some embodiments of the present disclosure.
Fig. 2A-2I illustrate power versus time graphs of methods for processing a substrate according to some embodiments of the present disclosure.
Figure 3 is a schematic cross-sectional view of an example of a processing chamber suitable for performing methods of depositing a material on a substrate in accordance with some embodiments of the present disclosure.
Figures 4A-4C illustrate side cross-sectional views of interconnect structures formed in a substrate according to some embodiments of the present disclosure.
To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. The figures are not drawn to scale and may be simplified for clarity. Elements and features of one embodiment may be advantageously incorporated into other embodiments without further recitation.
Detailed Description
Embodiments of the present disclosure advantageously provide improved methods of depositing materials on a substrate. Embodiments of the present disclosure also advantageously provide methods of depositing materials with improved film quality on a substrate. Embodiments of the present disclosure further advantageously provide methods of depositing materials on substrates at lower temperatures. Embodiments of the present disclosure also advantageously provide methods for depositing materials on substrates at lower temperatures without the need for high temperature post-deposition processing. Embodiments of the present disclosure also advantageously provide methods for depositing materials with improved film quality on a substrate without the need for high temperature or high energy post-deposition processing. As described in more detail below, pulsed plasma may be used to deposit and also process materials in a processing chamber.
Fig. 1 illustrates a flow diagram of a method for processing a substrate in accordance with some embodiments of the present disclosure. The method 100 may be any method configured for performing one of Chemical Vapor Deposition (CVD) or Plasma Enhanced Atomic Layer Deposition (PEALD)In one or more suitable process chambers. Exemplary processing systems that may be used to perform the inventive methods disclosed herein may include, but are not limited to
Figure BDA0001402617420000031
Or
Figure BDA0001402617420000032
Series processing system and
Figure BDA0001402617420000033
these, each of which is available from applied materials, Inc. of Santa Clara, Calif., in the processing chamber. Other process chambers, including those from other manufacturers, may also be suitably used in conjunction with the teachings provided herein. The method may be performed using a process chamber such as that illustrated in fig. 3 described below.
The method 100 may be performed on a substrate 400, as illustrated in fig. 4A, the substrate 400 having a feature 402, the feature 402 being formed in a first surface 404 of the substrate 400 and extending into the substrate 400 towards an opposing second surface 406 of the substrate 400. The substrate 400 may be any substrate capable of depositing a material on the substrate 400, such as a silicon substrate, a group III-V compound substrate, a silicon germanium (SiGe) substrate, an epitaxial substrate, a silicon-on-insulator (SOI) substrate, a display substrate such as a Liquid Crystal Display (LCD), a plasma display, an Electroluminescent (EL) lamp display, a solar cell array, a solar cell panel, a Light Emitting Diode (LED) substrate, a semiconductor wafer, or the like.
The substrate 400 may be any suitable substrate having features 402 formed therein. For example, the substrate 400 may include one or more of a dielectric material, silicon (Si), metal, or the like. Furthermore, the substrate 400 may include additional layers of materials or may have one or more completed or partially completed structures formed on or in the substrate 400. For example, the substrate 400 may include a first dielectric layer 412, such as silicon oxide, a low-k material (e.g., a material having a dielectric constant less than silicon oxide or less than about 3.9), or the like. The feature 402 may be formed in the first dielectric layer 412. In some embodiments, the first dielectric layer 412 may be disposed over a second dielectric layer 414, such as a silicon oxide, silicon nitride, silicon carbide, or the like, the second dielectric layer 414. A conductive material, such as conductive material 420, may be disposed in the second dielectric layer 414 and may be aligned with the feature 402 so that the feature 402 provides an electrical path to and from the conductive material when filled with the conductive material. For example, the conductive material may be part of a line or via coupled with the interconnect structure.
The feature 402 may be any opening such as a via, a trench, a dual damascene structure, or the like. In some implementations, the features 402 can have a high aspect ratio, such as an aspect ratio of about 5:1 or greater. As used herein, aspect ratio is the ratio of feature depth to feature width. The features 402 may be formed by etching the substrate 400 using any suitable etching process. The feature 402 includes a bottom surface 408 and sidewalls 410.
In some embodiments, and as illustrated by the dashed lines in fig. 4A-4C, the feature 402 may extend completely through the substrate 400, and the upper surface 426 of the second substrate 428 may form the bottom surface 408 of the feature 402. Second substrate 428 may be disposed adjacent to second surface 406 of substrate 400. Further (and also illustrated by dashed lines), a conductive material (e.g., conductive material 420) may be disposed in the upper surface 426 of the second substrate 428 and aligned with the features 402, for example, as part of a device, such as a logic device or the like, or as an electrical path to a device requiring electrical connectivity, such as a gate, contact pad, wire or via, or the like.
The method 100 begins in step 102 by: the material layer 416 is deposited on the substrate 400 by exposing the substrate 400 to a first precursor and a reactive species generated by a remote plasma source, wherein the reactive species reacts with the first precursor (the combination of the reactive species and the first precursor is hereinafter referred to as a reactive precursor 430), as depicted in fig. 4B. In some embodiments, the deposited material layer 416 may be a suitable semiconductor processing material. In some embodiments, the deposited material layer 416 may be a dielectric material. In some embodiments, the deposited material layer 416 may be a metal oxide material, such as hafnium oxide, tantalum oxide, manganese oxide, or the like. In some embodiments, the deposited material layer 416 may be a silicon-containing material, such as silicon carbide (SiC), silicon nitride (SiN), silicon oxide (SiO), silicon oxynitride (SiON), silicon oxycarbide (SiOC), or the like. In some embodiments, the material layer 416 may be deposited in the process chamber using Chemical Vapor Deposition (CVD), atomic layer deposition, or Molecular Layer Deposition (MLD).
The reactive species are generated by a reactive species precursor that is introduced into a plasma region of the processing chamber that is isolated or remote from the processing region of the chamber in which the substrate is disposed. The reactive species precursor may include one or more of several precursor materials. For example, the reactive species precursor may be one or more inert gases including argon, helium, nitrogen, and the like. Alternatively or additionally, a further gas may be used, which may comprise ammonia (NH)3) Hydrogen, or nitrogen and/or hydrogen containing gases. In some embodiments, the reactive species precursor may include only an inert gas.
The isolated plasma region may also be referred to as a remote plasma region or remote plasma source and may be located in a different module separate from the processing chamber or, for example, as a compartment within the processing chamber as shown in fig. 3. A plasma may be formed within the remote plasma region to generate reactive species from the reactive species precursor. The generated reactive species are directed into the substrate processing region in a number of ways, including using a pressure differential, a generated electric field, or some other known mechanism for directing a stream of ions and/or electrons into the processing region.
The first precursor may be introduced to the substrate processing region before, after, or simultaneously with the introduction of the reactive species into the substrate processing region. The first precursor is introduced into the processing chamber in the form of a vapor or substantially vapor. In some embodiments, the first precursor is a silicon-containing precursor. In some embodiments, the silicon-containing precursor may be silicon-containing precursors including silicon-silicon and silicon-hydrogen bonds or silicon-only precursorsSilanes and polysilanes consisting of silicon and silicon-hydrogen bonds. Exemplary precursors may include at least one silicon-silicon bond, at least two silicon-silicon bonds, at least three silicon-silicon bonds, and the like. For example, the silicon-containing precursor may be selected from any of the polysilane homologs starting with disilane. The silicon-containing precursor may also be selected from various isomers of polysilanes. For example, if the silicon-containing precursor has five silicon atoms, the composition may include any of n-pentasilane, iso-pentane silane, neopentasilane, 2-silyltetrasilane (2-silyltetramaselane), 2-disilylpropanosilane (2,2-disilyltrisilane), and the like. The silicon-containing precursor may comprise a cyclic form or cyclic silane, such as, for example, cyclohexasilane. Polysilanes may also include any saturated or unsaturated compound, such as silylene (silene) and silyne (silyne). The silicon-containing precursor may also have a general formula, such as SixHy, where X may be 2 or any number greater than 2to infinity, and Y may be 2 or any number greater than 2to infinity. For example, such a minimal formula would represent a disilyne (Si)2H2). Y may also be any factor based on X. For example, Y may be 2X, or 2X + N, where N ═ 2,0, -2, -4, -6, etc., or N may be any number less than or equal to 2. Exemplary silanes may include disilane, tetrasilane, cyclohexasilane, or the like.
The reactive species and the first precursor may react in the substrate processing region to form a dielectric layer, such as a silicon-based dielectric layer, on the substrate. The formed material layer may be initially flowable at the time of formation or initial deposition, which may allow the material to flow down into the trench to fill the defined pattern on the substrate. The dielectric material may be based on a reaction between a first reactive species and a silicon-containing precursor. The reactive species precursor and the first precursor may begin to react directly once they are in contact with each other, whereby the reactive species precursor and the first precursor may be isolated until entering the substrate processing region. This isolation may be performed by components such as a dual-channel showerhead, described further below. The showerhead may be configured to maintain isolation of the reactive species precursor from the first precursor, thereby preventing the reactive species precursor and the first precursor from contacting each other until they enter or are delivered to the substrate processing region.
The flowability of the dielectric material may be based on a combination of process parameters including process temperature and pressure, which are related to the first precursor, the plasma power used, and the distance between the showerhead or mechanism delivering the reactive species precursor and the first precursor and the substrate on which the film is to be formed. During deposition, the substrate processing region may be relatively, substantially, or completely plasma free. Although reactive species may be delivered into the substrate processing region, the plasma used to generate the reactive species may be contained outside the substrate processing region. The maximum amount of reaction may occur directly below the showerhead, or where the reactive species precursor initially interacts with the first precursor. The reactive species may have had the least amount of time to recombine, and thus more reaction may occur between the reactive species precursor and the first precursor. These gas phase reactions may be further influenced by the materials used. Silicon-silicon bonds may be weaker bonds compared to silicon-hydrogen, silicon-oxygen, and other silicon-based bonds. Thus, in the event that these more reactions occur, or in the event that higher plasma powers are used, a greater number of bonds may be broken for the silicon-containing precursors of the present techniques. As a possible consequence, the formed dielectric material may have reduced or no flowability when deposited on a substrate. During the deposition process, the substrate may be maintained at about 500 ℃ or at less than about 500 ℃, and may be maintained at about 400 ℃, 300 ℃, 200 ℃, 100 ℃, 80 ℃, 75 ℃, 50 ℃, 25 ℃, 10 ℃,0 ℃, -10 ℃, -20 ℃, -30 ℃, or maintained at less than about 400 ℃, 300 ℃, 200 ℃, 100 ℃, 80 ℃, 75 ℃, 50 ℃, 25 ℃, 10 ℃,0 ℃, -10 ℃, -20 ℃, -30 ℃, or maintained lower, between about 30 ℃ and-30 ℃, etc. In some embodiments, the substrate or substrate support pedestal is controlled at from about-150 ℃ to about 500 ℃. The processing chamber may be maintained at or below about 100Torr during the process, and may be maintained at or below about 50Torr, 25Torr, 15Torr, 5Torr, 1Torr, 0.1Torr, etc., or between about 0.1mTorr and about 10Torr, or between about 0.05 to about 2 Torr. The temperature and pressure may also be set based at least in part on the gas phase pressure of the precursor being used. As a non-limiting example, if tetrasilane is used as the first precursor and the chamber temperature is about-10 deg.C, the gas phase pressure of the tetrasilane may be less than about 3 Torr. Thus, if the chamber pressure is greater than about 3Torr, condensation of tetrasilane may occur. The interaction of the reactive species with the tetrasilane may also impart energy to overcome the freezing point. The plasma source used may comprise a plasma generated in the chamber but remote from and fluidly coupled to the substrate processing region, or alternatively, the plasma source may comprise a plasma generated in a module separate from but fluidly coupled to the processing chamber.
In some embodiments, the material layer 416 is deposited to a thickness suitable for processing all or substantially all of the deposited material layer 416, as described below in step 104. For example, in some embodiments, material layer 416 is deposited up to about every deposition cycle
Figure BDA0001402617420000071
To about
Figure BDA0001402617420000072
Is measured. For example, in some embodiments, the deposition may be during a 1to 2 second time period
Figure BDA0001402617420000073
A thick layer of material, and may be performed in about 100 milliseconds of the 1-2 second time period
Figure BDA0001402617420000074
And (4) processing a thick material layer.
Next, in step 104, all or substantially all of the deposited material layer 416 is processed by exposing the substrate 400 to a plasma 432, the plasma 432 being generated within the processing chamber by a second plasma source. As used herein, treating all or substantially all of the deposited material layer 416 refers to treating all or substantially all of the exposed surface area of the deposited material layer 416, and all or substantially all of the thickness of the deposited material layer 416. The energetic ions in plasma 432 process deposited material layer 416 by breaking or weakening bonds within deposited material layer 416.
In some embodiments, the plasma 432 is formed using a process gas (i.e., a process gas). In some embodiments, the process gas is introduced directly into the substrate processing region. In some embodiments, a process gas is introduced into the substrate processing region via a remote plasma region. In some embodiments, the process gas is an inert gas, such as helium (He), argon (Ar), neon (Ne), krypton (Kr), nitrogen (N)2) Ammonia (NH)3) Or any combination of these gases. In some embodiments, the process gas may be the same gas as the reactive species precursor described above. In some embodiments, the process gas is selected based on the deposition material layer 416. For example, the selection of the process gas may depend on providing the layer of deposited material 416 with predetermined film characteristics. The present inventors have noted that different film properties can be achieved by varying the process species in the plasma.
During the method 100, at least one of the remote plasma source or the second plasma source is pulsed to control the period of depositing the layer of material 416 and the period of processing the layer of material 416. The inventors have noted that a pulsed remote plasma source helps control the deposition thickness and helps separate the deposition and treatment processes. The inventors have noted that pulsing the second plasma source helps control the treatment time and treatment dose. The inventors have noted that pulsing at least one of the remote plasma source or the second plasma source can break weak bonds of the membrane and incorporate species into the membrane (e.g., using nitrogen (N)2) The deposited SiNH film is treated by breaking silicon-hydrogen (Si-H) bonds and incorporating more nitrogen (N) species into the film). The inventors have noted that at least one of the pulsed remote plasma source or the second plasma source improves the film properties of the deposited material layer 416, such as porosity, film shrinkage, film stress, and Wet Etch Rate Ratio (WERR), without requiring additional places such as curing or annealingAnd (5) processing. For example, the method 100 improves the thermal budget to less than about 450 ℃, the Wet Etch Rate Ratio (WERR) to less than about 2, and the film shrinkage to less than about 10%. Pulsing also increases the electron density and plasma reactivity, thereby breaking precursor molecules with strong bonds. The disrupted species can be used to process the deposited material layer 416 and improve the film properties listed above. In addition, during the pulsed off-period, the broken molecules can recombine to form new larger molecules that can be used during film deposition.
In some embodiments, a suitable plasma power source, which may operate in Continuous Wave (CW) or pulsed mode, is coupled to the remote plasma source. In some embodiments, a suitable plasma power source, which may operate in a Continuous Wave (CW) or pulsed mode, is coupled to the second plasma source. In some embodiments, the plasma power source may be a radio frequency power source, a microwave power source, a pulsed radio frequency power source, or a pulsed microwave power source.
In some embodiments, a plasma power supply coupled to a remote plasma source may be capable of generating up to 3000W of power at a tunable frequency ranging from about 50KHz to about 13.56 MHz. In the pulsed mode, the plasma power source may be pulsed at a pulse rate of up to about 100KHz, or in some embodiments, between about 1Hz and about 100 KHz. The plasma power supply may operate at a duty cycle (e.g., a percentage of on-time to the sum of on-time and off-time within a given cycle) between about 0.1% and about 100%.
In some embodiments, the plasma power source coupled to the second plasma source may be generally capable of generating up to 1500W of power at a frequency of about 13.56 MHz. In the pulsing mode, the plasma power source may be pulsed at a pulse frequency of up to about 100KHz, or in some embodiments, between about 1Hz to about 100 KHz. The plasma power supply may operate at a duty cycle (e.g., a percentage of on-time to the sum of on-time and off-time within a given period) between about 0.1% and about 100%.
In some embodiments, pulsing of the remote plasma source and/or the second plasma source may be controlled by adjusting the treatment duration of the deposited material layer 416. In some embodiments, pulsing of the remote plasma source and/or the second plasma source may be controlled by adjusting the pulsing frequency of the pulsed plasma. In some embodiments, pulsing of the remote plasma source and/or the second plasma source may be controlled by adjusting the duty cycle at which the plasma is pulsed. In some embodiments, pulsing of the remote plasma source and/or the second plasma source may be controlled by adjusting the power applied to pulse the remote plasma source and/or the second plasma source. In some embodiments, pulsing of the remote plasma source and/or the second plasma source may be controlled by adjusting the process gas flow.
2A-2I depict a graph illustrating elapsed time on the horizontal axis and applied power on the vertical axis. Fig. 2A-2I illustrate embodiments of the present disclosure in which at least one of the remote plasma source or the second plasma source is pulsed to control the period of depositing the material layer 416 and the period of processing the material layer 416. Line 202 represents a remote plasma source (i.e., deposition of a material layer 416 on the substrate 400) and line 204 represents a second plasma source (i.e., processing of the material layer 416 deposited on the substrate 400).
In some embodiments, as depicted in fig. 2A-2C, one of the remote plasma source (line 202) or the second plasma source (line 204) is operated in Continuous Wave (CW) mode while the other is pulsed. In some embodiments, as depicted in fig. 2A, the remote plasma source (line 202) is operated in a Continuous Wave (CW) mode for a first period of time 206 to deposit a layer of material 416, and the second plasma source (line 204) is pulsed during the first period of time 206 to process the deposited layer of material 416 while depositing additional layers of material 416. The first time period 206 is an amount of time suitable for depositing a predetermined amount of the material layer 416 and processing all or substantially all of the material layer 416.
In some embodiments, as depicted in fig. 2B, the remote plasma source (line 202) is operated in a Continuous Wave (CW) mode for a first time period 206 to deposit a material layer 416, and is turned off for a second time period 208 after the first time period 206. The second plasma source (line 204) is pulsed during the second time period 208 to process the deposited material layer 416 while depositing the additional material layer 416. The first period of time 206 is an amount of time suitable for depositing a predetermined amount of the material layer 416. The second time period 208 is an amount of time suitable for depositing an additional predetermined amount of the material layer 416 and processing all or substantially all of the material layer 416.
In some embodiments, as illustrated in fig. 2C, the remote plasma source (line 202) is pulsed for a first period of time 206 to deposit a material layer 416. During the first period 206, the second plasma source (line 204) operates in a Continuous Wave (CW) mode to continuously process the deposited material layer 416. The first time period 206 is an amount of time suitable for depositing a predetermined amount of the material layer 416 and processing all or substantially all of the material layer 416.
In some embodiments, as illustrated in fig. 2D-2I, both the remote plasma source (line 202) and the second plasma source (line 204) are pulsed. In some embodiments, as depicted in fig. 2D, the remote plasma source (line 202) and the second plasma source (line 204) are pulsed in phase such that the remote plasma source and the second plasma source are simultaneously on during the first time period 206 and simultaneously off during the second time period 208 to simultaneously deposit and process the material layer 416. The first time period 206 is an amount of time suitable for depositing a predetermined amount of the material layer 416 and processing all or substantially all of the material layer 416.
In some embodiments, as depicted in fig. 2E, the remote plasma source (line 202) and the second plasma source (line 204) are pulsed out of phase such that the second plasma source is off when the remote plasma source is on and the second plasma source is on when the remote plasma source is off. The embodiment depicted in figure 2E allows for the deposition of a material layer 416 followed by subsequent processing of the deposited material layer 416 without depositing additional material layers 416.
In some embodiments, as illustrated in fig. 2F, the remote plasma source (line 202) and the second plasma source (line 204) are pulsed out of phase for a first period of time 206 such that the second plasma source is off when the remote plasma source is on and the second plasma source is on when the remote plasma source is off. The embodiment depicted in fig. 2F allows alternating the deposition of the material layer 416 and the processing of the material layer 416 without depositing additional material layers 416. The remote plasma source (line 202) and the second plasma source (line 204) are then pulsed in phase for a second period of time such that the second plasma source is on when the remote plasma source is on and off when the remote plasma source is off. The embodiment depicted in fig. 2F allows for simultaneous deposition of the material layer 416 and processing of the deposited material layer 416. The first time period 206 is an amount of time suitable for depositing a predetermined amount of the material layer 416 and subsequently processing all or substantially all of the material layer 416. The second time period 208 is an amount of time suitable for depositing a predetermined amount of the material layer 416 and processing all or substantially all of the material layer 416 simultaneously.
In some embodiments, as depicted in fig. 2G, the remote plasma source (line 202) and the second plasma source (line 204) are pulsed in phase for a first period 206, such that the second plasma source is on when the remote plasma source is on and the second plasma source is off when the remote plasma source is off. The embodiment depicted in fig. 2G allows for simultaneous deposition of the material layer 416 and processing of the deposited material layer 416. The remote plasma source (line 202) and the second plasma source (line 204) are then pulsed out of phase for a second period of time such that the second plasma source is off when the remote plasma source is on and is on when the remote plasma source is off to deposit and process the material layer 416. The first time period 206 is an amount of time suitable for depositing a predetermined amount of the material layer 416 and simultaneously processing all or substantially all of the material layer 416. The second time period 208 is an amount of time suitable for depositing a predetermined amount of the material layer 416 and then processing all or substantially all of the material layer 416.
In some embodiments, as depicted in fig. 2H, the remote plasma source (line 202) is pulsed on for a first period 206 and pulsed off for a second period 208. The second plasma source (line 204) is pulsed on and off multiple times during a first time period 206 and off during a second time period 208. The embodiment depicted in fig. 2H allows for deposition of the material layer 416 while processing the deposited material layer 416 during portions of the first time period 206. The first time period 206 is an amount of time suitable for depositing a predetermined amount of the material layer 416 and processing all or substantially all of the material layer 416. The second time period 208 is an amount of time suitable to allow reactive species in the processing chamber to escape.
In some embodiments, as depicted in fig. 2I, the remote plasma source (line 202) is pulsed on for a first time period 206 and pulsed off for a second time period 208, and the second plasma source (line 204) is pulsed on a predetermined time 210 after the first time period 206 and during the second time period 208. The embodiment depicted in fig. 2I allows for deposition of a material layer 416 and then allows for the escape of reactive precursors 430 prior to processing the deposited material layer 416. The first time period 206 is an amount of time suitable for depositing a predetermined amount of the material layer 416. Predetermined time 210 after first time period 206 is an amount of time suitable to allow reactive precursor 430 to escape.
In some embodiments, each of the steps 102-104 is repeated until a material layer 416 of a predetermined thickness is deposited and processed on the substrate 400. After depositing and processing the material layer 416 to a predetermined thickness, the method 100 generally ends. In some embodiments, the method 100 is repeated to fill the feature 402 with a layer of material 416. In some embodiments, a fill material 424 (e.g., a conductive material) may be deposited within the features 402, such as via chemical vapor deposition. The features 402 may fill above the level of the upper surface of the substrate 400 and the deposited material layer 416 and fill material 424 may remain on the upper surface of the substrate 400. Accordingly, techniques such as wet cleaning in an acid solution, chemical or electrochemical mechanical polishing, or the like may be used to remove excess deposited material from the upper surface such that the features 402 are filled to approximately the same level as the upper surface of the substrate, as illustrated in fig. 4C.
Can implement the present disclosureThe deposition chambers may include high density plasma chemical vapor deposition (HDP-CVD) chambers, Plasma Enhanced Chemical Vapor Deposition (PECVD) chambers, sub-atmospheric chemical vapor deposition (SACVD) chambers, and thermal CVD chambers, among other types of chambers. Specific examples of CVD systems in which embodiments of the present disclosure may be implemented include CENTURA
Figure BDA0001402617420000121
HDP-CVD chamber/system, and
Figure BDA0001402617420000122
PECVD chamber/system, each of which is available from applied materials, inc.
Figure 3 is a schematic cross-sectional view of an example of a processing chamber 301 suitable for performing methods of depositing materials on substrates in accordance with some embodiments of the present disclosure.
Remote Plasma System (RPS)310 may process a gas that is then passed through gas inlet assembly 311. Two distinct air supply channels may be present within the air intake assembly 311. The first passage 312 may carry gas through the Remote Plasma System (RPS)310, while the second passage 313 may bypass the Remote Plasma System (RPS) 310. The first channel 312 and the second channel 313 may be used for process gases or reactive species precursors in the disclosed embodiments. The lid (or conductive top portion) 321 and a perforated baffle such as a showerhead 353 are illustrated as being disposed with an insulating ring 324 therebetween that can allow an AC potential to be applied to the lid 321 relative to the showerhead 353. The reactive species precursor may enter the chamber plasma region 320 through the first passage 312 and may be excited by a plasma in the chamber plasma region 320 alone or in combination with a Remote Plasma System (RPS) 310. The combination of the chamber plasma region 320 and/or the Remote Plasma System (RPS)310 may be referred to in this case as a remote plasma system. A perforated baffle or showerhead 353 may separate the chamber plasma region 320 from a substrate processing region 370 below the showerhead 353. Showerhead 353 may allow plasma to be present in chamber plasma region 320 to avoid directly exciting gases in substrate processing region 370 while still allowing excited species to travel from chamber plasma region 320 into substrate processing region 370.
The showerhead 353 may be positioned between the chamber plasma region 320 and the substrate processing region 370 and allows passage of the excited derivative of the first reactive species or precursor, or other gases generated within the chamber plasma region 320, through the plurality of through holes 356, the plurality of through holes 356 traversing the thickness of the one or more plates included in the showerhead. The showerhead 353 may also have one or more hollow volumes 351 that may be filled with a precursor in vapor or gas form, such as a first precursor, and pass through the apertures 355 into the substrate processing region 370 without directly entering the chamber plasma region 320. In the disclosed embodiment, the showerhead 353 may be thicker than the length of the smallest diameter 350 of the through hole 356. To maintain a significant concentration of excited species that permeate from the chamber plasma region 320 to the substrate processing region 370, the length 326 of the minimum diameter 350 of the via may be limited by forming a larger diameter portion of the via 356 partially through the showerhead 353. In the disclosed embodiment, the length of the minimum diameter 350 of the through-hole 356 may be of the same order of magnitude or less than the minimum diameter of the through-hole 356.
In the illustrated embodiment, the showerhead 353 may also dispense a process gas, e.g., containing a plasma vapor/gas (such as argon), via the through holes 356. In addition, the showerhead 353 may distribute the precursor that is maintained separate from the chamber plasma region 320 through the smaller holes 355. The process gases and precursors may be maintained in fluid separation via showerhead 353 until the precursors enter substrate processing region 370, respectively. Once the precursors enter the processing region, the precursors may contact each other and react to form a flowable dielectric material on the substrate 380.
In an embodiment, the number of vias 356 may be between about 60 and about 2000. The through hole 356 may have various shapes but the through hole 356 may be made circular. In the disclosed embodiment, the minimum diameter 350 of the through holes 356 may be between about 0.5mm and about 20mm, or between about 1mm and about 6 mm. There is also a range in selecting the cross-sectional shape of the via hole (vias), which can be made conical, cylindrical or a combination of these two shapes. In various embodiments, the number of apertures 355 used to introduce gas into the substrate processing region 370 may be between about 100 and about 5000, or between about 500 and about 2000. The diameter of the small holes 355 may be between about 0.1mm and about 2 mm.
When the reactive species arriving through the through holes 356 in the showerhead 353 combine with, for example, a silicon-containing precursor arriving through the small holes 355 from the hollow volume 351, exemplary films may be produced on a substrate supported by a pedestal within the substrate processing region 370, such as pedestal 375 on which substrate 380 is disposed. Although the substrate processing region 370 may be equipped to support a plasma for other processes such as curing, no plasma may be present during exemplary film growth or deposition.
The plasma may be ignited in the chamber plasma region 320 above the showerhead 353 or in the substrate processing region 370 below the showerhead 353. Alternatively, the plasma may not be formed in any portion of the chamber and may be formed only in the Remote Plasma System (RPS) 310. A plasma may be present in the chamber plasma region 320 to generate radical reactive species, such as from an inflow of one or more of argon, helium, hydrogen, or ammonia. An AC voltage, typically in the Radio Frequency (RF) range, is applied between a conductive top portion of the processing chamber, such as lid 321, and showerhead 353 to ignite a plasma in chamber plasma region 320 during deposition. The RF power source generates a high RF frequency of 13.56MHz, although other frequencies may be generated alone or in combination with the 13.56MHz frequency. The plasma in chamber plasma region 320 may be pulsed as described above. For example, in some embodiments, a power source, such as a microwave power source, an RF power source, or a DC power source, operable in a Continuous Wave (CW) mode or a pulsed mode is coupled to the Remote Plasma System (RPS) 310.
When the bottom plasma in the substrate processing region 370 is turned on during formation of the dielectric layer, the top plasma may remain in a lower or no power state. The plasma in the substrate processing region 370 may be ignited by applying an AC voltage between the showerhead 353 and the chamber pedestal 375 or the bottom of the chamber. The plasma in the substrate processing region 370 may be pulsed as described above. For example, in some embodiments, a power source, such as a microwave power source, an RF power source, or a DC power source, which may operate in a Continuous Wave (CW) mode or a pulsed mode, is coupled to pedestal 375.
Pedestal 375 may be movable and, in the disclosed embodiment, may be configured to be raised or lowered, and may likewise be configured to rotate. The pedestal 375 may have heat exchange channels through which a heat exchange fluid flows to control the substrate temperature. The heat exchange channels allow the substrate temperature to be cooled or heated to maintain a relatively low temperature, such as from about 0 ℃ or lower to about 200 ℃ or higher. The heat exchange fluid may comprise glycol, water, or some other fluid capable of introducing or removing heat from the system. The wafer support plate of the pedestal may also be resistively heated using embedded resistive heating elements to achieve higher temperatures from about 200 ℃ or lower to about 1100 ℃ or higher. The outer portion of the heater element may extend adjacent the periphery of the support disk and the inner portion extends in a path of concentric circles having a smaller radius. The resistive heating element may additionally be wound through the disk to provide a more uniform temperature. The wiring to the heater element may pass through the stem (stem) of the pedestal.
A chamber plasma region or a region in a Remote Plasma System (RPS) may be referred to as a remote plasma region. In embodiments, a radical precursor, such as an argon precursor, may be generated in a remote plasma region and advanced into a substrate processing region to combine with a silicon-containing precursor. In embodiments, the silicon-containing precursor is excited only by the radical-argon precursor. In embodiments, the plasma power may be applied primarily only to the remote plasma region to ensure that the radical-argon precursor provides the primary excitation to the silicon-containing precursor.
In embodiments using a chamber plasma region, the energized reactive species may be generated in a section of the substrate processing region that is separate from the deposition region. The deposition area, also referred to herein as a substrate processing area, may be where the reactive species and the first precursor mix and react to deposit a dielectric material on a substrate, such as a semiconductor wafer. The excited reactive species may also be accompanied by additional gases, including, for example, other inert gases or ammonia. In embodiments, the first precursor may not pass through the plasma prior to entering the substrate plasma region. In this case, the substrate processing region may be described as "plasma-free" during deposition of the dielectric material. "no plasma" does not necessarily mean that the region contains no plasma. Ionized species and free electrons generated in the plasma region may pass through apertures or apertures in the baffle plate or showerhead, but the first precursor may not be substantially excited by the plasma power applied to the plasma region. Plasma boundaries in the chamber plasma region are difficult to define and can encroach into the substrate processing region through apertures in the showerhead. In the case of inductively coupled plasma, a small amount of ionization can be achieved directly within the substrate processing region. In addition, low intensity plasmas can be generated in the substrate processing region without eliminating the specific features that form the film. All reasons for the plasma to have a much lower intensity ion density than the chamber plasma region or the remote plasma region during the generation of the excited first reactive species do not depart from the "no plasma" range as used in this case.
The plasma power may be a variety of frequencies or a combination of frequencies. In an exemplary processing system, the plasma may be provided by RF power delivered to the lid 321 relative to the showerhead 353. The plasma power may be Capacitively Coupled (CCP) or Inductively Coupled (ICP) to the remote plasma region.
While the foregoing is directed to embodiments of the present disclosure, other and further embodiments of the disclosure may be devised without departing from the basic scope thereof.

Claims (13)

1. A method of processing a substrate disposed in a processing chamber, the method comprising:
(a) depositing a layer of material on a substrate by exposing the substrate to a first reactive species generated by a remote plasma source and a first precursor, wherein the first reactive species reacts with the first precursor; and
(b) treating an entirety of the deposited material layer by breaking at least one chemical bond within the deposited material layer by exposing the substrate to a plasma generated within the processing chamber by a second plasma source, wherein at least one of the remote plasma source or the second plasma source is pulsed to control a deposition cycle and a treatment cycle, and the remote plasma source and the second plasma source are simultaneously turned on during at least one time period.
2. The method of claim 1, further comprising the steps of:
(c) repeating steps (a) and (b) until a predetermined thickness of the material layer is deposited and processed on the substrate.
3. The method of claim 1, wherein the second plasma source is coupled to a substrate support pedestal within the processing chamber.
4. The method of claim 1, wherein the remote plasma source and the second plasma source are coupled to an RF power source, a DC power source, or a microwave power source.
5. The method of claim 1, wherein the deposited material layer is one of silicon carbide (SiC), silicon nitride (SiN), silicon oxide (SiO), silicon oxynitride (SiON), silicon oxycarbide (SiOC), or a metal oxide.
6. The method of claim 1, wherein the plasma generated within the processing chamber is formed from a second process gas comprising helium (He), argon (Ar), neon (Ne), krypton (Kr), nitrogen (N)2) Ammonia (NH)3) Or any combination of these gases.
7. The method of claim 1, wherein pulsing the remote plasma source and the second plasma source is controlled by adjusting a power, or a pulsing frequency, or a duty cycle applied to the remote plasma source or the second plasma source.
8. The method of any of claims 1to 7, wherein:
the remote plasma source is operated in a Continuous Wave (CW) mode for a first period of time to deposit the layer of material, and wherein the second plasma source is pulsed during the first period of time to process the deposited layer of material while depositing additional layers of material.
9. The method of any of claims 1to 7, wherein the remote plasma source is pulsed for a first period of time to deposit the layer of material, and the second plasma source is operated in a Continuous Wave (CW) mode during the first period of time to continuously process the deposited layer of material.
10. The method of any of claims 1to 7, wherein the remote plasma source and the second plasma source are both pulsed, and wherein the remote plasma source and the second plasma source are pulsed in phase such that the remote plasma source and the second plasma source are simultaneously turned on during a first time period and simultaneously turned off during a second time period to simultaneously deposit the layer of material and process the deposited layer of material.
11. The method of any of claims 1to 7, wherein the remote plasma source and the second plasma source are both pulsed, and wherein the remote plasma source and the second plasma source are pulsed out of phase for a first period of time such that the second plasma source is off when the remote plasma source is on and the second plasma source is on when the remote plasma source is off, and the remote plasma source and the second plasma source are pulsed in phase for a second period of time such that the second plasma source is on when the remote plasma source is on and the second plasma source is off when the remote plasma source is off.
12. The method of any of claims 1to 7, wherein the remote plasma source and the second plasma source are both pulsed, and wherein the remote plasma source and the second plasma source are pulsed in phase for a first period of time such that the second plasma source is on when the remote plasma source is on and the second plasma source is off when the remote plasma source is off, and the remote plasma source and the second plasma source are pulsed out of phase for a second period of time such that the second plasma source is off when the remote plasma source is on and the second plasma source is on to deposit and treat the layer of material when the remote plasma source is off.
13. The method of any of claims 1to 7, wherein the remote plasma source and the second plasma source are both pulsed, and wherein the remote plasma source is pulsed on for a first period of time and pulsed off for a second period of time, and the second plasma source is pulsed on and off a plurality of times during the first period of time and off during the second period of time.
CN201680014686.7A 2015-03-17 2016-03-17 Pulsed plasma for film deposition Active CN107430992B (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201562134381P 2015-03-17 2015-03-17
US62/134,381 2015-03-17
PCT/US2016/022952 WO2016149541A1 (en) 2015-03-17 2016-03-17 Pulsed plasma for film deposition

Publications (2)

Publication Number Publication Date
CN107430992A CN107430992A (en) 2017-12-01
CN107430992B true CN107430992B (en) 2022-07-01

Family

ID=56919499

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201680014686.7A Active CN107430992B (en) 2015-03-17 2016-03-17 Pulsed plasma for film deposition

Country Status (6)

Country Link
US (1) US10096466B2 (en)
JP (1) JP6789966B2 (en)
KR (1) KR102521812B1 (en)
CN (1) CN107430992B (en)
TW (1) TWI701357B (en)
WO (1) WO2016149541A1 (en)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9728399B1 (en) * 2016-07-22 2017-08-08 International Business Machines Corporation Simultaneous formation of liner and metal conductor
US9721788B1 (en) * 2016-07-22 2017-08-01 International Business Machines Corporation Simultaneous formation of liner and metal conductor
US9646931B1 (en) 2016-07-22 2017-05-09 International Business Machines Corporation Formation of liner and metal conductor
US9870993B1 (en) 2016-07-22 2018-01-16 International Business Machines Corporation Simultaneous formation of liner and metal conductor
TWI700750B (en) * 2017-01-24 2020-08-01 美商應用材料股份有限公司 Method and apparatus for selective deposition of dielectric films
US10319582B2 (en) * 2017-04-27 2019-06-11 Lam Research Corporation Methods and apparatus for depositing silicon oxide on metal layers
US11117807B2 (en) * 2017-06-23 2021-09-14 Jiangsu Nata Opto-Electronic Materials Co. Ltd. Method of making aluminum-free neopentasilane
WO2019028136A1 (en) * 2017-08-04 2019-02-07 Lam Research Corporation Selective deposition of sin on horizontal surfaces
CN109585264B (en) * 2018-08-26 2020-12-22 合肥安德科铭半导体科技有限公司 Flowable chemical vapor deposition method for silicon nitride film
US11114306B2 (en) * 2018-09-17 2021-09-07 Applied Materials, Inc. Methods for depositing dielectric material
KR20210047966A (en) * 2018-09-24 2021-04-30 버슘머트리얼즈 유에스, 엘엘씨 Method for producing silicon and nitrogen-containing membranes
US20210398796A1 (en) * 2018-10-03 2021-12-23 Versum Materials Us, Llc Methods for making silicon and nitrogen containing films
WO2020251882A1 (en) * 2019-06-08 2020-12-17 Applied Materials, Inc. Low deposition rates for flowable pecvd
US11972943B2 (en) 2019-09-20 2024-04-30 Applied Materials, Inc. Methods and apparatus for depositing dielectric material
JP7292173B2 (en) * 2019-10-11 2023-06-16 東京エレクトロン株式会社 Processing method and plasma processing apparatus
TWI819257B (en) 2019-12-20 2023-10-21 美商應用材料股份有限公司 Silicon carbonitride gapfill with tunable carbon content
US11721545B2 (en) * 2020-09-28 2023-08-08 Applied Materials, Inc. Method of using dual frequency RF power in a process chamber
KR20220102569A (en) * 2021-01-13 2022-07-20 에이에스엠 아이피 홀딩 비.브이. Methods for depositing gap-filling fluids and related systems and devices
US20230030436A1 (en) * 2021-07-30 2023-02-02 Applied Materials, Inc. Plasma treatment process to densify oxide layers

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010530127A (en) * 2007-03-30 2010-09-02 東京エレクトロン株式会社 Strained silicon nitride film and device manufacturing method including the film
US8465811B2 (en) * 2011-01-28 2013-06-18 Asm Japan K.K. Method of depositing film by atomic layer deposition with pulse-time-modulated plasma
TW201437416A (en) * 2013-01-25 2014-10-01 Applied Materials Inc Low shrinkage dielectric films
TW201442077A (en) * 2013-02-20 2014-11-01 Varian Semiconductor Equipment System and method for processing substrate

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5014217A (en) * 1989-02-09 1991-05-07 S C Technology, Inc. Apparatus and method for automatically identifying chemical species within a plasma reactor environment
JP3951003B2 (en) * 1995-11-17 2007-08-01 俊夫 後藤 Plasma processing apparatus and method
JP4296371B2 (en) * 2000-07-24 2009-07-15 日本電気株式会社 Polycrystalline silicon film forming method and film forming apparatus
US6689220B1 (en) * 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
US6818570B2 (en) * 2002-03-04 2004-11-16 Asm Japan K.K. Method of forming silicon-containing insulation film having low dielectric constant and high mechanical strength
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
WO2009117565A2 (en) * 2008-03-21 2009-09-24 Applied Materials, Inc. Method and apparatus of a substrate etching system and process
US7666474B2 (en) * 2008-05-07 2010-02-23 Asm America, Inc. Plasma-enhanced pulsed deposition of metal carbide films
US8557712B1 (en) * 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
US8883270B2 (en) * 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8101531B1 (en) * 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US20130217239A1 (en) * 2011-09-09 2013-08-22 Applied Materials, Inc. Flowable silicon-and-carbon-containing layers for semiconductor processing
WO2013039881A2 (en) * 2011-09-13 2013-03-21 Applied Materials, Inc. Carbosilane precursors for low temperature film deposition
US8889566B2 (en) * 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US20150371851A1 (en) * 2013-03-15 2015-12-24 Applied Materials, Inc. Amorphous carbon deposition process using dual rf bias frequency applications
US20150140836A1 (en) * 2013-11-18 2015-05-21 Intermolecular, Inc. Methods to Control SiO2 Etching During Fluorine Doping of Si/SiO2 Interface

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010530127A (en) * 2007-03-30 2010-09-02 東京エレクトロン株式会社 Strained silicon nitride film and device manufacturing method including the film
US8465811B2 (en) * 2011-01-28 2013-06-18 Asm Japan K.K. Method of depositing film by atomic layer deposition with pulse-time-modulated plasma
TW201437416A (en) * 2013-01-25 2014-10-01 Applied Materials Inc Low shrinkage dielectric films
TW201442077A (en) * 2013-02-20 2014-11-01 Varian Semiconductor Equipment System and method for processing substrate

Also Published As

Publication number Publication date
TWI701357B (en) 2020-08-11
US20160276150A1 (en) 2016-09-22
TW201636453A (en) 2016-10-16
JP2018511700A (en) 2018-04-26
CN107430992A (en) 2017-12-01
JP6789966B2 (en) 2020-12-02
WO2016149541A1 (en) 2016-09-22
US10096466B2 (en) 2018-10-09
KR20170126494A (en) 2017-11-17
KR102521812B1 (en) 2023-04-14

Similar Documents

Publication Publication Date Title
CN107430992B (en) Pulsed plasma for film deposition
US9378969B2 (en) Low temperature gas-phase carbon removal
US9406523B2 (en) Highly selective doped oxide removal method
US9190290B2 (en) Halogen-free gas-phase silicon etch
US9018108B2 (en) Low shrinkage dielectric films
US9412608B2 (en) Dry-etch for selective tungsten removal
US7989365B2 (en) Remote plasma source seasoning
US8466067B2 (en) Post-planarization densification
US20150371865A1 (en) High selectivity gas phase silicon nitride removal
US20130217239A1 (en) Flowable silicon-and-carbon-containing layers for semiconductor processing
KR20170063911A (en) Flowable low-k dielectric gapfill treatment
WO2014113177A1 (en) Dry-etch for selective tungsten removal
WO2013165658A1 (en) Improved densification for flowable films
US20240063015A1 (en) Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
KR20140037202A (en) Selective deposition of polymer films on bare silicon instead of oxide surface
US20150140833A1 (en) Method of depositing a low-temperature, no-damage hdp sic-like film with high wet etch resistance
CN112385013A (en) Carbon gap filling film
TW201829821A (en) High-pressure annealing and reducing wet etch rates

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant