CN107070703A - 一种基于路径分析的2d mesh片上网络交换机测试方法 - Google Patents

一种基于路径分析的2d mesh片上网络交换机测试方法 Download PDF

Info

Publication number
CN107070703A
CN107070703A CN201710164942.7A CN201710164942A CN107070703A CN 107070703 A CN107070703 A CN 107070703A CN 201710164942 A CN201710164942 A CN 201710164942A CN 107070703 A CN107070703 A CN 107070703A
Authority
CN
China
Prior art keywords
test
paths
path
fault
failure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201710164942.7A
Other languages
English (en)
Inventor
江建慧
柯敏辉
张颖
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tongji University
Original Assignee
Tongji University
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tongji University filed Critical Tongji University
Priority to CN201710164942.7A priority Critical patent/CN107070703A/zh
Publication of CN107070703A publication Critical patent/CN107070703A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L49/00Packet switching elements
    • H04L49/25Routing or path finding in a switch fabric
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L41/00Arrangements for maintenance, administration or management of data switching networks, e.g. of packet switching networks
    • H04L41/06Management of faults, events, alarms or notifications
    • H04L41/0677Localisation of faults
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L49/00Packet switching elements
    • H04L49/55Prevention, detection or correction of errors
    • H04L49/555Error detection

Abstract

本发明涉及一种基于路径分析的2D MESH片上网络交换机测试方法,包括以下步骤:1)构建故障字典;2)确定作为测试入口和测试出口的交换机,在测试入口处生成测试包,每个收到所述测试包的交换机复制所述测试包,并按XY和YX路由算法发出,记录路由方向;3)在测试出口处记录所有到达该测试出口的测试包,获得所有测试包的路径信息,获得一实际路径集合;4)将所述实际路径集合与故障字典进行匹配,确定故障交接机。与现有技术相比,本发明具有降低硬件成本、提高故障覆盖率等优点。

Description

一种基于路径分析的2D MESH片上网络交换机测试方法
技术领域
本发明涉及片上网络交换机的测试方法,尤其是涉及一种基于路径分析的2DMESH片上网络交换机的测试方法。
背景技术
随着半导体技术以及集成电路技术的飞速发展,单个芯片中IP(IntellectualProperty)核数量越来越多,基于片上总线的系统芯片(System-on-chip,SoC)在设计上遇到了全局时钟难以同步、地址空间有限、无法支持多节点并行通讯与系统拓展不够灵活等问题,严重制约了集成在单一芯片上的IP核规模及系统性能。片上网络(Network-on-chip,NoC)是片上系统一种新的通信方式,其利用分布式系统的通信方式,通过路由和分组交换的方式通信,能很好地适应在复杂SoC设计中常使用的全局异步局部同步的时钟机制,并具有良好的可伸缩性和可拓展性。
片上网络由资源节点、通讯节点(交换机)、通道和资源网络接口组成,为了让片上网络有效应用于复杂的数字系统,必须要对片上网络进行测试。对NoC的测试包括对IP核的测试,对网络中的连接链路的测试,以及对交换机的测试。NoC交换机制测试的重要性能指标有硬件成本、故障覆盖率及测试时间。
交换机是2D Mesh片上网络系统数据转发的核心部件,其是否能正常工作直接影响整个片上网络系统的功能,因而对交换机的测试是片上网络测试的焦点问题。现有的片上网络交换机测试方法存在硬件成本过高、故障覆盖率不够或测试包数量过多等问题,影响了测试性能。
发明内容
本发明的目的就是为了克服上述现有技术存在的缺陷而提供一种低硬件成本、高故障覆盖率的基于路径分析的2D MESH片上网络交换机测试方法。
本发明的目的可以通过以下技术方案来实现:
一种基于路径分析的2D MESH片上网络交换机测试方法,包括以下步骤:
1)构建故障字典;
2)确定作为测试入口和测试出口的交换机,在测试入口处生成测试包,每个收到所述测试包的交换机复制所述测试包,并按XY和YX路由算法发出,记录路由方向;
3)在测试出口处记录所有到达该测试出口的测试包,获得所有测试包的路径信息,获得一实际路径集合;
4)将所述实际路径集合与故障字典进行匹配,确定故障交接机。
所述构建故障字典具体为:
预测每个交换机发生故障时,由测试入口处生成的测试包按XY和YX路由算法到达测试出口处的所有路径信息,建立相应类二叉树结构,获取每个类二叉树结构的根节点到所有叶节点的所有路径,获得的路径集合放入故障字典的对应故障交接机单元中。
交换机发生的故障为固定输出接口型故障,所述固定输出接口型故障的类型包括Stuck-at East、Stuck-at West、Stuck-at South、Stuck-at North和Stuck-atProcessor。
采用差别记录法将获得的路径集合放入故障字典的对应故障交接机单元中,所述差别记录法具体为:
将所述路径集合与无故障路径集合的每条路径进行比较,将与无故障路径集合不同的路径记为故障路径存入故障字典的对应故障交接机单元中,并在故障路径后添加改变位。
所述改变位为0或1,0表示与无故障路径相比此故障路径是减少的,1表示与无故障路径相比此故障路径是增加的。
采用重复路径结合法将获得的路径集合放入故障字典的对应故障交接机单元中,所述重复路径结合法具体为:
对所述路径集合进行处理,路径集合中的重复路径仅保留一个,其他删除,将处理后的路径集合放入故障字典的对应故障交接机单元中。
对所述路径集合进行处理时,在路径集合中的每条路径后添加用于记录该路径重复次数的标志位。
在构建故障字典时,测试入口和测试出口分别同时作为测试出口和测试入口。
所述记录路由方向时,分别用1、2、3、4、5表示East、North、West、South、Processor五个方向。
所述故障字典采用离线方式构建。
与现有技术相比,本发明具有以下优点:
1)所需测试包数量是片上网络测试的重要指标,由于洪泛算法每个交换机需要将测试包复制两份发出,而本发明方法仅需要将测试包复制一份,因而避免了不必要的测试包的浪费。
2)本发明采用XY和YX路由算法相结合的路由算法,并从两个方向相互发送测试包,可以在保证故障覆盖率100%的情况下有效减少由洪泛算法造成的测试包的浪费。
3)相比于基于扫描链、基于内建自测试、基于自测试交换机等诊断方法,本发明方法仅需要记录测试包发送方向的硬件,而不需要基于交换机封装器、内建自测试硬件及分散检测法、交换机计数法和被困信息包检测法相应的测试硬件,因而其所增加的硬件成本相对较低。
4)由于确定了片上网络的规模之后,就可以通过预测每个交换机发生每种固定输出接口型故障时所有按XY和YX路由算法到达测试出口处的路径信息的方式来建立故障字典,因而故障字典的建立可以是离线的。因此,整个诊断过程是测试包开始注入到获得故障字典匹配结果的过程,而不需要考虑故障字典的建立,因而该本发明方法具有较强的延展性。
附图说明
图1为XY路由算法原理示意图;
图2为YX路由算法原理示意图;
图3为测试测试包生成策略示意图;
图4为本发明测试方法的流程示意图;
图5为路径信息类二叉树结构的建立和获取路径原理示意图;
图6为2D MESH片上网络交换机固定输出接口型故障下TAS1到TAS2的故障字典示意图;
图7为2D MESH片上网络交换机固定输出接口型故障下TAS2到TAS1的故障字典示意图;
图8为差别记录法流程示意图;
图9为重复路径结合法流程示意图;
图10为在NOXIM中实现测试方法的流程示意图;
图11为测试包的结构示意图;
图12为NOXIM数据包生成步骤示意图;
图13为测试包生成方式示意图;
图14为路由功能函数route()的原理示意图;
图15为各种测试方法硬件成本增加率比较结果图;
图16为故障字典优化方法的内存空间优化率结果图;
图17为故障字典优化方法的诊断时间优化率结果图。
具体实施方式
下面结合附图和具体实施例对本发明进行详细说明。本实施例以本发明技术方案为前提进行实施,给出了详细的实施方式和具体的操作过程,但本发明的保护范围不限于下述的实施例。
一、路径分析测试方法
本实施例提供的测试方法采用了一种高层次片上网络交换机的故障模型——固定输出接口型故障模型。在片上网络中,从功能角度看,交换机发生控制故障的表现形式是数据包被发送到一个错误的输出接口。建立的控制故障类型是固定输出接口型故障,即交换机收到的所有数据包都会被发送至同一输出接口。因此,定义以下含有五个固定型方向的故障模型:Stuck-at East、Stuck-at West、Stuck-at South、Stuck-at North和Stuck-at Processor。该测试方法基于路径分析,通过记录测试包在片上网络中转发的方向来记录测试包的传送路径,通过分析路径值来确定故障交换机的位置和故障类型。
1.XY路由算法和YX路由算法
XY路由算法:这是2D Mesh片上网络交换机中数据包传递的最常见的路由算法。数据包根据当前位置和目的交换机的位置,先延X轴的方向传递至X坐标与目的交换机坐标相同,再延Y轴方向传递至目的交换机。如图1所示。
YX路由算法:同理于XY路由算法,采用XY路由算法的数据包根据当前位置和目的交换机的位置,先延Y轴的方向传递至Y坐标与目的交换机坐标相同,再延X轴方向传递至目的交换机。如图2所示。
2.测试包生成策略
将片上网络的左下角和右上角处的交换机(记为TAS1和TAS2)同时作为测试输入接口和输出接口。测试包分别从TAS1和TAS2输入,从TAS2和TAS1获得测试结果。测试包在片上网络中采用的路由算法是XY和YX算法相结合的算法,即每个收到测试包的交换机都将该测试包复制一份,并根据目的交换机的位置分别按XY和YX路由算法发出。如图3所示。采用XY和YX路由算法相结合的路由算法,并从两个方向相互发送测试包,可以在保证故障覆盖率100%的情况下有效减少由洪泛算法造成的测试包的浪费。
3.测试方法流程
为了诊断出片上网络中发生固定型方向的交换机的位置和故障类型,本发明采用故障字典匹配的方式诊断片上网络交换机,具体流程如图4所示,包括以下步骤:
1)构建故障字典,具体为:
预测每个交换机发生故障时,由测试入口处生成的测试包按XY和YX路由算法到达测试出口处的所有路径信息,建立相应类二叉树结构,获取每个类二叉树结构的根节点到所有叶节点的所有路径,获得的路径集合放入故障字典的对应故障交接机单元中;
2)确定作为测试入口和测试出口的交换机,在测试入口处生成测试包,每个收到测试包的交换机复制测试包,并按XY和YX路由算法发出,记录路由方向;
3)在测试出口处记录所有到达该测试出口的测试包,获得所有测试包的路径信息,获得一实际路径集合;
4)将实际路径集合与故障字典进行匹配,确定故障交接机。
二、故障字典的建立和匹配方法
本发明提出在2D Mesh结构片上网络交换机高层次故障的测试方法——路径分析法,其核心步骤是建立高层次故障的故障模型,并将测试出口处得到的实际路径数据与故障字典进行匹配从而确定故障交换机的位置及其故障类型。
1.故障字典的建立方法
如上所述,从测试入口交换机TAS1(TAS2)分别按XY和YX路由算法发出两个测试包,记录下路由方向;每个收到测试包的交换机都将该测试包复制一份,并按XY和YX路由算法发出,记录下路由方向。按此方式记录下所有到达测试出口的测试包的路径信息应是一个类似于二叉树的结构。建立某交换机发生某固定输出接口型故障的路径信息的类二叉树结构是建立故障字典的重点步骤,建立此二叉树结构的方法如图5所示。按图5所示的步骤,可以预计出当某个交换机发生某种固定接口型故障的路径信息。建立某交换机发生某固定接口故障对应的二叉树后,取出每一条从根节点到叶子节点且路径长度为Manhattan路径长度的路径,该集合即为此交换机发生此固定接口故障的故障字典单元的数据。
按上述方式,预计出每个交换机发生每种固定接口型故障的路径信息,建立对应的类二叉树结构,取出每个交换机发生每种固定接口故障的路径集合,则建立了完整的故障字典。
由分析可知,单个方向(TAS1至TAS2)的故障字典并不能精确表示故障路径集合。当发生此种情况时,当将实际从测试出口处获得的路径信息与所建立的故障字典匹配时,匹配结果就会不止一个,因此无法通过故障字典的建立和匹配的方式来精确定位故障交换机。
因此,可通过建立两个方向(TAS1至TAS2和TAS2至TAS1)的故障字典来解决上述问题。进行测试前,首先建立两个方向的故障字典,再分别以TAS1和TAS2作为测试入口交换机,以TAS2和TAS1作为测试出口交换机进行测试,在两个测试出口处分别获得实际路径的集合,将TAS2处获得的路径集合与TAS1至TAS2的故障字典进行匹配,将TAS1处获得的路径集合与TAS2至TAS1的故障字典进行匹配,从而获得两个匹配结果。通过进一步对这两个匹配结果进行处理而最终确定故障交换机的位置和故障类型。两个方向的故障字典分别如图6、7所示。
由于确定了片上网络的规模之后,就可以通过预测每个交换机发生每种固定输出接口型故障时所有按XY和YX路由算法到达测试出口处的路径信息的方式来建立故障字典,因而故障字典的建立可以是离线的。
2.实际路径信息与故障字典的匹配方法
当测试出口交换机收到所有的路径长度等于Manhattan路径长度的路径时,我们将这个路径集合与故障字典中的各个单元的路径集合信息进行逐一匹配。当发现实际路径集合与故障字典某单元的路径集合完全一致时,则表示此故障字典单元对应的交换机发生故障,故障类型为此故障字典单元对应的故障类型。
如上所述,该匹配方法将实际路径集合与故障字典的每个单元逐一匹配。首先判定实际路径集合所包含的路径数是否等于该故障字典单元包含的路径数。若相等则将实际路径与故障字典单元中的路径逐一判定是否相等,若完全相等则可判定该故障字典单元所对应的交换机和故障类型即为故障诊断结果。由于该匹配方法遍历了故障字典中所有的单元,因而匹配结果具有正确性和有效性。
3.故障字典建立方法的改进
按上述的故障字典的建立方法,建立某交换机发生某固定接口故障对应的二叉树结构后,取出每一条从根节点到叶子节点且路径长度为Manhattan路径长度的路径,存入故障字典的相应单元中。然而,按这种方式存储路径信息,故障字典所占用的内存空间较大,因此提出了两种优化的故障字典建立方法。
1)差别记录法
差别记录法的基本思想是将由树形结构的路径信息获得的路径集合与无故障的路径集合进行比较,在路径后加一位,记为“改变位”,用以记录相比于无故障的路径集合,此路径是增加的还是缺少的,分别用1和0表示增加和减少。而故障路径集合与无故障路径集合重复的路径可忽略。然后将与无故障路径集合不同的路径存入故障字典相应的单元中。实现流程如图8所示。
2)重复路径结合法
重复路径法的基本思想是由于由树形结构的路径信息获得的路径集合存在大量的重复路径的情况,因此为了简化路径集合,对由树形结构的路径信息获得的路径集合进行处理,在路径后加一位,用以记录该路径的重复次数,因而重复的路径只需保留一个,其他忽略。再将处理过的路径集合存入故障字典相应的单元中。重复路径结合法的实现流程如图9所示。
三.测试方法在NOXIM中的实现及仿真实验
本实施例在一种常用的片上网络模拟器NOXIM中通过修改其源代码的方式实现了所提出的2D Mesh结构片上网络的测试生成及故障诊断算法,并开展了相应的实验研究。
1.NOXIM简介
NOXIM采用系统级编程语言systemC编写,其基本模块包括:片上网络整体结构(NoximNoC)、片上网络单元(NoximTile)、片上网络路由器(NoximRouter)、片上网络处理单元(NoximProcessingElement)、片上网络缓冲区(NoximBuffer)等。启动模拟器后,输入片上网络规模及路由算法作为输入参数。片上网络整体结构模块生成网状的片上网络单元组,每个片上网络单元模块新建一个路由器和处理单元,并部署各单元的信号及单元之间的信号。建立好片上网络结构后,模拟开始,每个片上网络单元中的处理单元根据数据包生成信号决定是否新建数据包,并根据数据包发出条件决定是否将数据包发出。同时每个片上网络单元中的路由器根据接收数据包信号决定是否读取缓冲区的数据包。若路由器读取了缓冲区的数据包,则其根据路由算法确定输出接口,并预约该输出接口的缓冲区,最后将数据包发送至该预约接口的缓冲区,完成数据包在路由器中的转发。
2.测试方法在NOXIM中的实现
该测试方法在NOXIM中的实现方式如图10所示,先建立故障字典,在模拟结束之后,在测试出口处获得所有到达测试出口的测试包的路径,进而获取实际路径集合。最后将实际路径集合与故障字典进行匹配,从而定位故障交换机并确定故障类型。为在NOXIM中实现本文测试方法,需要修改NOXIM中测试包的结构、测试包的生成方式、路由算法以及测试包路由方向记录模块。
1)测试包的结构
NOXIM模拟器中数据包主要包含以下信息:
●源交换机位置:存储产生数据包的交换机位置坐标;
●目的交换机位置:存储数据包要被发送至的交换机位置坐标;
●跳变数:从数据包产生开始,其跳变经过的交换机数量,每经过一个交换机,跳变数值增加一;
●序列号:根据数据包产生顺序,给其一独有的序列号;
●负载:数据包的负载信息。
为实现路径分析法诊断出发生固定输出接口型故障的交换机,需要记录测试包经过每个交换机时其被交换机转发的方向,因此在测试包中加入字段“路径信息”,用以存储转发方向,分别用1、2、3、4、5表示E、N、W、S、P五个方向。因此修改后的测试包结构如图11所示。
2)测试包的生成方式
NOXIM模拟器中数据包的生成是每个处理单元模块根据数据包生成信号,按一定概率生成数据包。其流程如图12所示:
本实施例所用的路径分析法只在测试入口的处理单元中生成一个测试包,然后在每个交换机的输入缓冲区处将测试包复制。因此测试包生成方式修改为如图13所示。
3)测试包的路由算法
如上所述,路径分析测试法中测试包采用的路由算法是XY算法和YX算法相结合的路由算法,即将发送至交换机的测试包按XY算法发出,而将在缓冲区中复制出的测试包按YX算法发出。因此,修改NOXIM模拟器源代码中路由器模块的路由功能函数route(),如图14所示。
4)测试包路由方向的记录
如上所述,路径分析测试法需记录每个测试包被每个交换机转发的转发方向。因此,在NOXIM模拟器源代码中路由器模块中加入记录转发方向的语句,即测试包被转发后,在测试包的“路径信息”字段中插入转发方向(分别用1、2、3、4、5表示E、N、W、S、P五个方向)。
3.实验数据与分析
1)路径分析法仿真实验结果
通过在NOXIM中进行故障注入,分别对不同规模的网格状片上网络交换机进行测试。在对片上网络交换机的测试中,故障覆盖率、测试时间,以及测试所用的内存消耗量都是反应测试方法性能的重要指标。实验结果如表1所示,其中,“包含故障数”为当前规模的NoC所包含的所有固定输出接口型故障,“检测出故障数”为通过本文测试方法能准确诊断出的故障数,“故障覆盖率”为检测出故障数与包含故障数之比,“诊断时间”为从开始生成测试包到输出诊断结果的总时间,“故障字典内存消耗”为故障字典所包含的所有路径长度之和。
表1
从表1中可以看出,随着片上网络规模的增大,其所可能包含的固定输出接口型故障不断增多,路径分析法能保证完全的故障覆盖率,即可以诊断出所有的故障;随着片上网络规模的增大,诊断时间不断增多,故障字典的内存消耗也不断增多。
2)路径分析法与其他片上网络交换机测试方法的比较
本实施例分别从所需硬件成本和故障覆盖率的角度对路径分析法与其他基于高层次故障模型的片上网络交换机的测试方法进行比较,包括基于自测试交换机的测试方法和基于测试包路径信息的测试方法。故障覆盖率的获取是通过对片上网络注入所有可能的固定输出接口型故障,将可诊断出的故障数除以总故障数,其值即为故障覆盖率。硬件成本的获取是通过计算一定规模的片上网络实现诊断方法所需的逻辑门数相比与无诊断时所需逻辑门数所增加的百分比。比较结果如表2和表3所示。
表2
表3
由表2可知,基于测试包路径信息法并不能达到100%故障覆盖率,而自测试交换机法和路径分析法可达到100%故障覆盖率,然而自测试交换机法由分散检测法、交换机计数法和被困信息包检测法三种测试方法组合而成,而仅分散检测法可以定位出故障交换机,因此其不能达到100%诊断覆盖率,即不能完全定位故障交换机,而路径分析法可以定位出所有检测出故障的交换机,因此可以达到100%诊断覆盖率。
由表3和图15可知,路径分析法可以大幅度减少为检测故障而所需的硬件成本。基于自测试交换机的测试方法,由于其包含分散检测法、交换机计数法和被困信息包检测法三种测试方法,而此三种方法分别都需要相应的测试硬件实现,因此整体硬件成本较高;基于测试包路径信息法由于每个交换机都要引入记录跳变数及比较当前交换机位置和源交换机位置的相对位置信息的硬件,因此也需要一定的硬件成本。路径分析法由于其仅需要记录测试包发送方向的硬件,其所增加的硬件成本相对较低。
3)故障字典内存优化方法实验结果
针对提出的故障字典内存优化方法进行了实现,其内存优化效果如表4和图16所示。由表4和图16可知,差别记录法和重复路径结合法都可以有效降低故障字典所占内存容量,且随着片上网络规模的增加,两种方法降低内存容量的比例都逐渐增加。重复路径结合法相比于差别记录法能更大程度降低内存容量。
表4
差别记录法和重复路径结合法的诊断时间及其相比于未处理的路径分析诊断方法诊断时间的比较如表5与图17所示。由于差别记录法和重复路径结合法在将实际路径信息与故障字典匹配之前,都需要将实际路径信息按故障字典中路径信息的格式进行处理,因而总体匹配时间会多出一部分的实际路径处理时间。由表5可知,差别路径法的匹配总体时间相比于原路径分析法并没有明显优化,而重复路径集合法可以一定程度上优化原路径分析法的诊断时间。
表5
以上详细描述了本发明的较佳具体实施例。应当理解,本领域的普通技术人员无需创造性劳动就可以根据本发明的构思作出诸多修改和变化。因此,凡本技术领域中技术人员依本发明的构思在现有技术的基础上通过逻辑分析、推理或者有限的实验可以得到的技术方案,皆应在由权利要求书所确定的保护范围内。

Claims (10)

1.一种基于路径分析的2D MESH片上网络交换机测试方法,其特征在于,包括以下步骤:
1)构建故障字典;
2)确定作为测试入口和测试出口的交换机,在测试入口处生成测试包,每个收到所述测试包的交换机复制所述测试包,并按XY和YX路由算法发出,记录路由方向;
3)在测试出口处记录所有到达该测试出口的测试包,获得所有测试包的路径信息,获得一实际路径集合;
4)将所述实际路径集合与故障字典进行匹配,确定故障交接机。
2.根据权利要求1所述的基于路径分析的2D MESH片上网络交换机测试方法,其特征在于,所述构建故障字典具体为:
预测每个交换机发生故障时,由测试入口处生成的测试包按XY和YX路由算法到达测试出口处的所有路径信息,建立相应类二叉树结构,获取每个类二叉树结构的根节点到所有叶节点的所有路径,获得的路径集合放入故障字典的对应故障交接机单元中。
3.根据权利要求2所述的基于路径分析的2D MESH片上网络交换机测试方法,其特征在于,交换机发生的故障为固定输出接口型故障,所述固定输出接口型故障的类型包括Stuck-at East、Stuck-at West、Stuck-at South、Stuck-at North和Stuck-atProcessor。
4.根据权利要求2所述的基于路径分析的2D MESH片上网络交换机测试方法,其特征在于,采用差别记录法将获得的路径集合放入故障字典的对应故障交接机单元中,所述差别记录法具体为:
将所述路径集合与无故障路径集合的每条路径进行比较,将与无故障路径集合不同的路径记为故障路径存入故障字典的对应故障交接机单元中,并在故障路径后添加改变位。
5.根据权利要求4所述的基于路径分析的2D MESH片上网络交换机测试方法,其特征在于,所述改变位为0或1,0表示与无故障路径相比此故障路径是减少的,1表示与无故障路径相比此故障路径是增加的。
6.根据权利要求2所述的基于路径分析的2D MESH片上网络交换机测试方法,其特征在于,采用重复路径结合法将获得的路径集合放入故障字典的对应故障交接机单元中,所述重复路径结合法具体为:
对所述路径集合进行处理,路径集合中的重复路径仅保留一个,其他删除,将处理后的路径集合放入故障字典的对应故障交接机单元中。
7.根据权利要求6所述的基于路径分析的2D MESH片上网络交换机测试方法,其特征在于,对所述路径集合进行处理时,在路径集合中的每条路径后添加用于记录该路径重复次数的标志位。
8.根据权利要求2所述的基于路径分析的2D MESH片上网络交换机测试方法,其特征在于,在构建故障字典时,测试入口和测试出口分别同时作为测试出口和测试入口。
9.根据权利要求3所述的基于路径分析的2D MESH片上网络交换机测试方法,其特征在于,所述记录路由方向时,分别用1、2、3、4、5表示East、North、West、South、Processor五个方向。
10.根据权利要求1所述的基于路径分析的2D MESH片上网络交换机测试方法,其特征在于,所述故障字典采用离线方式构建。
CN201710164942.7A 2017-03-20 2017-03-20 一种基于路径分析的2d mesh片上网络交换机测试方法 Pending CN107070703A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201710164942.7A CN107070703A (zh) 2017-03-20 2017-03-20 一种基于路径分析的2d mesh片上网络交换机测试方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201710164942.7A CN107070703A (zh) 2017-03-20 2017-03-20 一种基于路径分析的2d mesh片上网络交换机测试方法

Publications (1)

Publication Number Publication Date
CN107070703A true CN107070703A (zh) 2017-08-18

Family

ID=59617908

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710164942.7A Pending CN107070703A (zh) 2017-03-20 2017-03-20 一种基于路径分析的2d mesh片上网络交换机测试方法

Country Status (1)

Country Link
CN (1) CN107070703A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110309526A (zh) * 2018-03-27 2019-10-08 赛灵思公司 用于可配置从端点电路的外围互连

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101821640A (zh) * 2008-12-17 2010-09-01 惠瑞捷(新加坡)私人有限公司 用于确定用于检测芯片上的故障的相关值以及确定芯片上的位置的故障概率的方法和装置
CN203324970U (zh) * 2013-06-17 2013-12-04 安幼林 一种基于小总线从板的分布式实时检测装置电路

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101821640A (zh) * 2008-12-17 2010-09-01 惠瑞捷(新加坡)私人有限公司 用于确定用于检测芯片上的故障的相关值以及确定芯片上的位置的故障概率的方法和装置
CN203324970U (zh) * 2013-06-17 2013-12-04 安幼林 一种基于小总线从板的分布式实时检测装置电路

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
柯敏辉: ""基于高层次故障模型的片上网络交换机的诊断策略"", 《电脑知识与技术:学术交流》 *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110309526A (zh) * 2018-03-27 2019-10-08 赛灵思公司 用于可配置从端点电路的外围互连

Similar Documents

Publication Publication Date Title
Kim et al. Design and analysis of an NoC architecture from performance, reliability and energy perspective
US7162706B2 (en) Method for analyzing and validating clock integration properties in circuit systems
Cota et al. A high-fault-coverage approach for the test of data, control and handshake interconnects in mesh networks-on-chip
Kakoee et al. A distributed and topology-agnostic approach for on-line NoC testing
Alaghi et al. Online NoC switch fault detection and diagnosis using a high level fault model
CN103310850B (zh) 片上网络资源节点存储器的内建自测试结构和自测试方法
Liu et al. Online traffic-aware fault detection for networks-on-chip
Wang et al. Efficient design-for-test approach for networks-on-chip
Jindal et al. Enhancing network-on-chip performance by reusing trace buffers
Yu et al. Transient and permanent error control for high-end multiprocessor systems-on-chip
Dalirsani et al. An analytical model for reliability evaluation of NoC architectures
Yaghini et al. Investigation of transient fault effects in an asynchronous NoC router
Salaun et al. Formal verification of CHP specifications with CADP illustration on an asynchronous network-on-chip
CN107070703A (zh) 一种基于路径分析的2d mesh片上网络交换机测试方法
Charif et al. Addressing transient routing errors in fault-tolerant networks-on-chips
El-Ashry et al. On error injection for NoC platforms: A UVM-based practical case study
Coelho et al. A soft-error resilient route computation unit for 3D networks-on-chips
Choi et al. Modeling and analysis of fault tolerant multistage interconnection networks
Bistouni et al. Rearranging links: a cost-effective approach to improve the reliability of multistage interconnection networks
Suvorova et al. Reconfigurable NoC development with fault mitigation
Yao et al. On-line Detection and Localization of DoS Attacks in NoC
Lajolo et al. Early evaluation of bus interconnects dependability for system-on-chip designs
Khichar et al. Fault aware adaptive routing algorithm for mesh based NoCs
Rambo et al. Failure analysis of a network-on-chip for real-time mixed-critical systems
Chen et al. A scalable built-in self-recovery (BISR) VLSI architecture and design methodology for 2D-mesh based on-chip networks

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20170818

WD01 Invention patent application deemed withdrawn after publication