CN106921462A - The method and apparatus decoded to FM0 codings - Google Patents

The method and apparatus decoded to FM0 codings Download PDF

Info

Publication number
CN106921462A
CN106921462A CN201510993979.1A CN201510993979A CN106921462A CN 106921462 A CN106921462 A CN 106921462A CN 201510993979 A CN201510993979 A CN 201510993979A CN 106921462 A CN106921462 A CN 106921462A
Authority
CN
China
Prior art keywords
data
decoded
read
window width
flag bit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201510993979.1A
Other languages
Chinese (zh)
Other versions
CN106921462B (en
Inventor
邓海
万维逸
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Aisino Corp
Original Assignee
Aisino Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Aisino Corp filed Critical Aisino Corp
Priority to CN201510993979.1A priority Critical patent/CN106921462B/en
Publication of CN106921462A publication Critical patent/CN106921462A/en
Application granted granted Critical
Publication of CN106921462B publication Critical patent/CN106921462B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04LTRANSMISSION OF DIGITAL INFORMATION, e.g. TELEGRAPHIC COMMUNICATION
    • H04L1/00Arrangements for detecting or preventing errors in the information received
    • H04L1/004Arrangements for detecting or preventing errors in the information received by using forward error control
    • H04L1/0056Systems characterized by the type of code used

Abstract

The present invention relates to the communications field, a kind of method and apparatus decoded to FM0 codings are disclosed, the method includes:Position window width in acquisition FM0 coded datas between two neighboring maximum;By in the data buffer storage to be decoded corresponding with position window width to data buffer, by the flag bit data buffer storage corresponding with position window width to mark buffer, and position window width, data to be decoded and flag bit data are corresponded;Data to be decoded to having cached are synchronized, and a code element is represented with which two adjacent bit in confirming data to be decoded;And from data buffer and mark buffer sequentially read data to be decoded and flag bit data respectively two dibits, and data to be decoded according to the flag bit data for being read to being read carry out it is error corrected and decoded.The present invention can improve reliability, the decoding speed decoded to FM0 codings, and improve receiving sensitivity.

Description

The method and apparatus decoded to FM0 codings
Technical field
The present invention relates to the communications field, in particular it relates to a kind of method decoded to FM0 codings And device.
Background technology
The full name of FM0 codings is encoded for two-phase space code, is characterized in a position window becoming using level Change and represent Different Logic.If the level only section start upset of window in place, other positions do not overturn, then table Show logical one;If the section start upset of level both window in place, and window intermediate flipped in place, then it represents that Logical zero.According to FM0 coding rules it can be found that the code element for no matter transmitting is " 0 " or " 1 ", The section start of window in place is required for overturning.
It is that to gather each edge by comparator (including upper to the FM0 conventional methods that are decoded of coding Rise edge and trailing edge), then decoded, as shown in Figure 1.The implementation method of this decoding is simple, But have the disadvantage that anti-interference is poor.Since when radio frequency baseband signal is disturbed by external signal, decoder The baseband signal for receiving in addition to useful signal, also interference signal.For example, the base band letter shown in Fig. 2 In number, the microvariations near low level are interference signal.If in decoding the edge of interference signal Collection is come in, and can cause that the beat of whole decoding makes a mistake, the complete mistake of the decoding after causing.This Sample result in practical application, decoder cisco unity malfunction under more severe electromagnetic environment.
The content of the invention
It is an object of the invention to provide a kind of method and apparatus decoded to FM0 codings, it can The reliability and anti-interference decoded to FM0 codings are improved, and improves receiving sensitivity.
To achieve these goals, the present invention provides a kind of method decoded to FM0 codings, should Method includes:Position window width in acquisition FM0 coded datas between two neighboring maximum;Will with institute The corresponding data buffer storage to be decoded of rheme window width, will be with institute's rheme window width phase in data buffer Corresponding flag bit data buffer storage is in mark buffer, and institute rheme window width, the data to be decoded Corresponded with the flag bit data;Data to be decoded to having cached are synchronized, described to confirm Which two adjacent bit represents a code element in data to be decoded;And respectively from the data buffer and Sequentially two dibits ground reads the data to be decoded and the flag bit data in the mark buffer, If the data to be decoded that the flag bit data instruction read is read need not carry out error correction, directly right The data to be decoded decoding read, if the flag bit data for being read indicate the data to be decoded for being read Need to carry out error correction, then to being decoded again after the correcting data error to be decoded that is read.
The present invention also provides a kind of device decoded to FM0 codings, and the device includes:Position window width Degree acquisition module, for obtaining the position window width in FM0 coded datas between two neighboring maximum; Cache module, for by the data buffer storage to be decoded corresponding with institute rheme window width to data buffer, By in the flag bit data buffer storage corresponding with institute rheme window width to mark buffer, and institute's rheme window width Degree, the data to be decoded and the flag bit data are corresponded;Synchronization module, for having cached Data to be decoded synchronize, represent one with any two adjacent bits in confirming the data to be decoded Code element;And decoder module, for respectively from the data buffer and the mark buffer sequentially Two dibits ground reads the data to be decoded and the flag bit data, if the flag bit data for being read Indicating the data to be decoded for being read need not carry out error correction, then data solution to be decoded directly to being read Code, if the data to be decoded that the flag bit data instruction read is read need to carry out error correction, to institute Decoded again after the correcting data error to be decoded for reading.
By above-mentioned technical proposal, due to the method decoded to FM0 codings of the invention and Device obtains the position window width between two neighboring maximum in FM0 coded datas first, then pair with The corresponding data to be decoded of institute's rheme window width are cached and synchronous, last according to flag bit data pair Data to be decoded are decoded, therefore technology according to the present invention can avoid interference the interference of signal, enter And the reliability and anti-interference decoded to FM0 codings can be improved, improve receiving sensitivity.
Other features and advantages of the present invention will be described in detail in subsequent specific embodiment part.
Brief description of the drawings
Accompanying drawing is, for providing a further understanding of the present invention, and to constitute the part of specification, with Following specific embodiment is used to explain the present invention together, but is not construed as limiting the invention. In accompanying drawing:
Fig. 1 is the position window schematic diagram of the conventional method decoded to FM0 codings;
Fig. 2 is that occur the schematic diagram of interference signal at the low level of baseband signal;
Fig. 3 is the flow of the method decoded to FM0 codings according to one embodiment of the present invention Figure;
Fig. 4 is the position window schematic diagram according to one embodiment of the present invention;And
Fig. 5 is the block diagram of the device decoded to FM0 codings according to one embodiment of the present invention.
Specific embodiment
Specific embodiment of the invention is described in detail below in conjunction with accompanying drawing.It should be appreciated that Specific embodiment described herein is merely to illustrate and explain the present invention, and is not intended to limit the invention.
The present invention provides a kind of method decoded to FM0 codings, as shown in figure 3, the method can With including step S1 to S4.
Position window width in step S1, acquisition FM0 coded datas between two neighboring maximum.
The experience of correlation theory and practical application according to interference, the baseband signal during wireless receiving exists When being disturbed by extraneous electromagnetic signals, it is easier to be interfered at low level, as shown in Fig. 2 in base A disturbing pulse is occurred in that near the low level of band signal.
In order to reduce influence of the interference signal to decoding, the present invention is proposed will be adjacent in FM0 coded datas Time interval between two maximum as position window width, as shown in Figure 4.Each window is believed from base band Number maximum start and terminate at next maximum.The adjustment of this position window can be avoided in Fig. 2 Erroneous judgement and influence of the shown interference signal on decoding.Because interference signal is drawn from the low level of baseband signal Enter, therefore the maximum of interference signal tend to be substantially lower than the maximum of normal baseband signal, therefore true This interference signal can be easily rejected during positioning window width, and by next pole of normal baseband signal It is big to be worth as the end of position window, and then anti-interference and the spirit of decoding technique of the invention can be improved Sensitivity.
In addition, it is assumed that the bit rate of FM0 codings is 1/T, then the characteristics of coding according to FM0, in FM0 Time interval in coded data between two neighboring maximum also ascend the throne window width be only possible to occur 3 kinds of feelings Condition, i.e.,:T, 1.5T and 2T.In the case that position window width is 1.5T, there are two kinds of possibility.One kind is The position window being made up of trailing edge long and short rising edge, namely rising edge between two neighboring maximum is located at At the position of the center-right side of this window, another kind is the position window being made up of short trailing edge and rising edge long, Namely the rising edge between two neighboring maximum is located at the position of the center-left side of this window.In Fig. 4 Position window n+1 be exactly the position window being made up of short trailing edge and rising edge long example.According to waveform characteristic The time span difference of difference, trailing edge and rising edge, generally both of these case is easily to distinguish , but due to the appearance of external disturbance, this difference sometimes be it is unconspicuous, it is even opposite. Therefore characteristic of the method according to the invention also in subsequent step according to FM0 codings is to this fuzzy feelings Condition carries out error correction to reduce the bit error rate, and this will be described in detail in follow-up decoding step.
Furthermore it is possible to the baseband signal for receiving is compared along comparator with for example bilateral, and then To the position window width between two neighboring maximum.
Further, the data to be decoded and flag bit data corresponding to each window width can foundations The characteristics of FM0 is encoded obtains, namely:
(1) institute's rheme window width be T, then the data to be decoded corresponding to this window width be 01, institute Corresponding flag bit data are 00.For flag bit data, " 0 " in flag bit data represents The bit of the corresponding data to be decoded of the flag bit data need not be by error correction, " 1 " in flag bit data The bit for representing the corresponding data to be decoded of the flag bit data is needed by error correction.In addition, according to FM0 The characteristics of coding, when window width in place is T, the content of corresponding data to be decoded is only possible to be " 01 ", So this dibit " 01 " all need not be by error correction.
(2) institute's rheme window width is 1.5T, if the rising edge between two neighboring maximum is located at the position At the position of the center-left side of window, then the data to be decoded corresponding to this window width be 011, it is corresponding Flag bit data be 010, if rising edge between two neighboring maximum to be located at this window center-right At the position of side, then the data to be decoded corresponding to this window width are 001, corresponding mark digit According to being 010.Now, because the intermediate bit of flag bit data is 1, therefore corresponding data to be decoded Intermediate bit is needed by error correction.
(3) institute's rheme window width be 2T, then the data to be decoded corresponding to this window width be 0011, Corresponding flag bit data are 0000.The characteristics of being encoded according to FM0, when window width in place is 2T, The content of data to be decoded is only possible to be " 0011 ", so this four bits " 0011 " are all not required to be entangled It is wrong.
The basic thought of data to be decoded given above is exactly:Half decline of window is represented with " 0 " Edge, half rising edge of window is represented with " 1 ", then " 00 " represents a trailing edge for position window, " 11 " Represent a rising edge for position window.So, the radio frequency baseband signal for receiving, namely FM0 coded numbers According to the data signal for being just converted into 2, as long as afterwards these data signals decode can just complete Decoding to FM0 coded datas.
Step S2, by the data buffer storage to be decoded corresponding with institute rheme window width to data buffer, By in the flag bit data buffer storage corresponding with institute rheme window width to mark buffer, and institute's rheme window width Degree, the data to be decoded and the flag bit data are corresponded.
Step S3, the data to be decoded to having cached are synchronized, with confirming the data to be decoded Which two adjacent bit represents a code element.
When the FM0 coded datas to receiving are decoded, because without synchronizing signal, , it is necessary to advanced row data syn-chronization before decoding and error correction step, with confirm any two adjacent characters (namely Buffered data adjacent to be decoded) code element is represented, so just can correctly be decoded.
According to the rule that FM0 is encoded, bit stream " 00 " or " 11 " are to represent a code element certainly, And " 01 " or " 10 " had both been probably the upset between two code elements, it is also possible in code element " 0 " Between upset, so " 01 " and " 10 " cannot function as the foundation of synchronous judgement.Therefore, it can basis " 00 " or " 11 " synchronizes judgement, and two dibits after data syn-chronization success is confirmed are One code element.
In addition, in order to avoid causing the generation of the complete misalignment of subsequent decoding, Ke Yitong because of timing error Cross the sequence of detection certain length and the probability of timing error occur to synchronize reducing to the full extent, Specific method is:In the case of the adjacent character as decoding multigroup two, it is believed that synchronous success.
In addition, in the case of there is preamble in FM0 codings, can also be according to preamble pair The data to be decoded for having cached are synchronized.For example, continuous 6 " 0 " and continuous 6 decoding After the preamble data of " 1 ", just think data syn-chronization succeed, so to preamble it FM0 coded datas afterwards can realize correct decoding when being decoded.Those skilled in the art should When understanding, above-mentioned preamble is only example, in fact, different application scenario preambles Can have nothing in common with each other.
Step S4, respectively from the data buffer and the mark buffer sequentially two dibits ground The data to be decoded and the flag bit data are read, if the flag bit data for being read indicate to be read Data to be decoded need not carry out error correction, then directly to read data to be decoded decoding, if being read The flag bit data that take indicate the data to be decoded for being read to need to carry out error correction, then waiting of being read is solved Decoded again after code correcting data error.
Preferably, during error correction is carried out, if the flag bit data for being read indicate that is read to treat The first bit in decoding data needs error correction, then solved according to the waiting of being read in the data buffer The latter bit in two bits before code data carries out error correction;If the flag bit data for being read are indicated The second bit in the data to be decoded for being read needs error correction, then according to the institute in the data buffer The previous bit in two bits after the data to be decoded for reading carries out error correction.
Below citing describes step S4.
When the data to be decoded for being read be " 01 " or " 10 ", the flag bit data for being read be " 00 " When, illustrate that data to be decoded that need not be to being read carry out error correction, therefore directly solve treating of being read Code data " 01 " or " 10 " is decoded as code element " 0 ";When the band decoding data for being read be " 00 " or When person " 11 ", the flag bit data for being read are " 00 ", illustrating need not be to be decoded to what is read Data carry out error correction, therefore the data to be decoded " 00 " or " 11 " that will directly be read are decoded as code First " 1 ".
When the flag bit data for being read are " 10 ", illustrate that the first of the data to be decoded for being read is compared Special procure and want error correction, the value after the error correction of the bit is by this is read in the data buffer number to be decoded Determined according to the latter bit in two bits before.When being encoded due to FM0, between two code elements Need to be overturn between bit, therefore before the data to be decoded that this is read in the data buffer Two bits in latter bit be " 0 " when, the first bit of the data to be decoded that will be read entangles Mistake is " 1 ", in two bits before the data to be decoded that this is read in the data buffer When latter bit is " 1 ", the first bit error correction of the data to be decoded that will be read is " 0 ", so It is achieved that forward error correction.
When the flag bit data for being read are " 01 ", illustrate that the second of the data to be decoded for being read is compared Special procure and want error correction, the value after the error correction of the bit is by this is read in the data buffer number to be decoded Previous bit in two bits after is determined.When being encoded due to FM0, between two code elements Need to be overturn between bit, therefore after the data to be decoded that this is read in the data buffer Two bits in previous bit be " 0 " when, the second bit of the data to be decoded that will be read entangles Mistake is " 1 ", in two bits after the data to be decoded that this is read in the data buffer When previous bit is " 1 ", the second bit error correction of the data to be decoded that will be read is " 0 ", so It is achieved that backward error correction.
So far, it is achieved that the decoding to FM0 codings.
Preferably, before step S1, coding/decoding method of the invention can also include:Receive solution Code beginning flag position.That is, only just starting to perform step S1-S4 when decoding beginning flag position is received.
Preferably, after step s4, coding/decoding method of the invention can also include:Receive solution Code end mark position.That is, then stopping decoding for after decoding end mark is received, otherwise continue Wait for subsequent decoding.
The present invention also provides a kind of device decoded to FM0 codings, as shown in figure 5, the device Including position window width acquisition module 21, cache module 22, synchronization module 23 and decoder module 24.Below These modules are described in detail.
Position window width acquisition module 21 is used to obtaining in FM0 coded datas between two neighboring maximum Position window width.Exemplary bit window of the invention is as shown in Figure 4.Each window is from the pole of baseband signal Big value starts and terminates at next maximum.The adjustment of this position window can be avoided shown in Fig. 2 Erroneous judgement and influence of the interference signal on decoding, and then the anti-dry of decoding technique of the invention can be improved Immunity and sensitivity.
In addition, it is assumed that the bit rate of FM0 codings is 1/T, then the characteristics of coding according to FM0, in FM0 Time interval in coded data between two neighboring maximum also ascend the throne window width be only possible to occur 3 kinds of feelings Condition, i.e.,:T, 1.5T and 2T.In the case that position window width is 1.5T, there are two kinds of possibility.One kind is The position window being made up of trailing edge long and short rising edge, namely rising edge between two neighboring maximum is located at At the position of the center-right side of this window, another kind is the position window being made up of short trailing edge and rising edge long, Namely the rising edge between two neighboring maximum is located at the position of the center-left side of this window.In Fig. 4 Position window n+1 be exactly the position window being made up of short trailing edge and rising edge long example.According to waveform characteristic The time span difference of difference, trailing edge and rising edge, generally both of these case is easily to distinguish , but due to the appearance of external disturbance, this difference sometimes be it is unconspicuous, it is even opposite. Therefore the characteristic that the apparatus according to the invention is encoded also in decoder module 24 according to FM0 is obscured to this Situation carries out error correction to reduce the bit error rate, and this will subsequently be described in detail.
In addition, position window width acquisition module 21 can with it is for example bilateral along comparator to the base band that receives Signal is compared, and then obtains the position window width between two neighboring maximum.
Further, the data to be decoded and flag bit data corresponding to each window width can foundations The characteristics of FM0 is encoded obtains, namely:
(1) institute's rheme window width be T, then the data to be decoded corresponding to this window width be 01, institute Corresponding flag bit data are 00.For flag bit data, " 0 " in flag bit data represents The bit of the corresponding data to be decoded of the flag bit data need not be by error correction, " 1 " in flag bit data The bit for representing the corresponding data to be decoded of the flag bit data is needed by error correction.In addition, according to FM0 The characteristics of coding, when window width in place is T, the content of corresponding data to be decoded is only possible to be " 01 ", So this dibit " 01 " all need not be by error correction.
(2) institute's rheme window width is 1.5T, if the rising edge between two neighboring maximum is located at the position At the position of the center-left side of window, then the data to be decoded corresponding to this window width be 011, it is corresponding Flag bit data be 010, if rising edge between two neighboring maximum to be located at this window center-right At the position of side, then the data to be decoded corresponding to this window width are 001, corresponding mark digit According to being 010.Now, because the intermediate bit of flag bit data is 1, therefore corresponding data to be decoded Intermediate bit is needed by error correction.
(3) institute's rheme window width be 2T, then the data to be decoded corresponding to this window width be 0011, Corresponding flag bit data are 0000.The characteristics of being encoded according to FM0, when window width in place is 2T, The content of data to be decoded is only possible to be " 0011 ", so this four bits " 0011 " are all not required to be entangled It is wrong.
The basic thought of data to be decoded given above is exactly:Half decline of window is represented with " 0 " Edge, half rising edge of window is represented with " 1 ", then " 00 " represents a trailing edge for position window, " 11 " Represent a rising edge for position window.So, the radio frequency baseband signal for receiving, namely FM0 coded numbers According to the data signal for being just converted into 2, as long as afterwards these data signals decode can just complete Decoding to FM0 coded datas.
Cache module 22 is used to delay the to be decoded data buffer storage corresponding with institute rheme window width to data In storage, by the flag bit data buffer storage corresponding with institute rheme window width to mark buffer, and institute Rheme window width, the data to be decoded and the flag bit data are corresponded.
Synchronization module 23 is used to synchronize the data to be decoded for having cached, described to be decoded to confirm Which two adjacent bit represents a code element in data.Solved in the FM0 coded datas to receiving During code, because without synchronizing signal, before the decoding of decoder module 24, synchronization module 23 needs Advanced row data syn-chronization, so that any two adjacent characters (namely buffered data adjacent to be decoded) confirmed A code element is represented, such decoder module 24 just can be decoded correctly.
According to the rule that FM0 is encoded, bit stream " 00 " or " 11 " are to represent a code element certainly, And " 01 " or " 10 " had both been probably the upset between two code elements, it is also possible in code element " 0 " Between upset, so " 01 " and " 10 " cannot function as the foundation of synchronous judgement.Therefore, synchronization module 23 can synchronize judgement according to " 00 " or " 11 ", and after data syn-chronization success is confirmed two Dibit is a code element.
In addition, in order to avoid causing the generation of the complete misalignment of subsequent decoding, synchronous mould because of timing error Block 23 can be synchronized synchronous to reduce appearance to the full extent by detecting the sequence of certain length The probability of mistake, specific method is:Adjacent character as decoder module 24 decodes multigroup two In the case of, synchronization module 23 thinks synchronous success.
In addition, in the case of having preamble in FM0 codings, synchronization module 23 can also foundation Preamble is synchronized to the data to be decoded for having cached.For example, in the company of decoding of decoder module 24 After the preamble data of continuous 6 " 0 " and continuous 6 " 1 ", synchronization module 23 just thinks several Succeed according to synchronous, so the FM0 coded datas after decoder module 24 is to preamble are solved Correct decoding can be realized during code.It will be apparent to a skilled person that above-mentioned preamble Only it is example, in fact, different application scenario preambles can also have nothing in common with each other.
Decoder module 24 be used for respectively from the data buffer and the mark buffer two-by-two sequentially Than specially reading the data to be decoded and the flag bit data, if the flag bit data for being read are indicated The data to be decoded for being read need not carry out error correction, then data to be decoded decoding directly to being read, If the data to be decoded that the flag bit data instruction read is read need to carry out error correction, to being read Correcting data error to be decoded after decoded again.
Preferably, during error correction is carried out, if the flag bit data for being read indicate that is read to treat The first bit in decoding data needs error correction, then decoder module 24 is somebody's turn to do according in the data buffer The latter bit in two bits before the data to be decoded for being read carries out error correction;If the mark for being read The second bit in the data to be decoded that the data instruction of will position is read needs error correction, then decoder module 24 According to the previous ratio in two bits after the data to be decoded that this is read in the data buffer Spy carries out error correction.
The decoding and error correction of decoder module illustrated below 24.
When the data to be decoded that decoder module 24 is read be " 01 " or " 10 ", the flag bit for being read When data are " 00 ", illustrate that data to be decoded that need not be to being read carry out error correction, therefore decoding mould The data to be decoded " 01 " or " 10 " that block 24 will directly be read are decoded as code element " 0 ";Work as decoding The band decoding data that module 24 is read is " 00 " or " 11 ", the flag bit data for being read are " 00 " When, illustrate that data to be decoded that need not be to being read carry out error correction, therefore decoder module 24 directly will The data to be decoded " 00 " for being read or " 11 " are decoded as code element " 1 ".
When the flag bit data that decoder module 24 is read are " 10 ", illustrate to be read is to be decoded First bit of data needs error correction, and the value after the error correction of the bit is read by the institute in the data buffer Latter bit in two bits before the data to be decoded for taking is determined.When being encoded due to FM0, two Need to be overturn between bit between individual code element, therefore when the treating of being read in the data buffer When the latter bit in two bits before decoding data is " 0 ", decoder module 24 will be read First bit error correction of data to be decoded be " 1 ", when in the data buffer this read it is to be decoded When the latter bit in two bits before data is " 1 ", decoder module 24 solves treating of being read First bit error correction of code data is " 0 ", is so achieved that forward error correction.
When the flag bit data that decoder module 24 is read are " 01 ", illustrate to be read is to be decoded Second bit of data needs error correction, and the value after the error correction of the bit is read by the institute in the data buffer Previous bit in two bits after the data to be decoded for taking is determined.When being encoded due to FM0, two Need to be overturn between bit between individual code element, therefore when the treating of being read in the data buffer When the previous bit in two bits after decoding data is " 0 ", decoder module 24 will be read Second bit error correction of data to be decoded be " 1 ", when in the data buffer this read it is to be decoded When the previous bit in two bits after data is " 1 ", decoder module 24 solves treating of being read Second bit error correction of code data is " 0 ", is so achieved that backward error correction.
Preferably, as shown in figure 5, decoding apparatus of the invention can also include decoding beginning flag Position receiver module 25, for receiving decoding beginning flag position.So, only connect in decoding beginning flag position Receive position window width acquisition module 21, cache module 22 when module 25 receives decoding beginning flag position, same Step module 23 and decoder module 24 are just started working.
Preferably, as shown in figure 5, decoding apparatus of the invention can also include decoding end mark Position receiver module 26, for receiving decoding end mark position.That is, receiving mould in decoding end mark position Block 26 receives decoding end mark decoding apparatus of the invention for after and stops decoding, otherwise after It is continuous to wait for subsequent decoding.
The preferred embodiment of the present invention is described in detail above in association with accompanying drawing, but, the present invention is not limited Detail in above-mentioned implementation method, in range of the technology design of the invention, can be to the present invention Technical scheme carry out various simple variants, these simple variants belong to protection scope of the present invention.
It is further to note that each particular technique feature described in above-mentioned specific embodiment, In the case of reconcilable, can be combined by any suitable means.In order to avoid unnecessary Repeat, the present invention is no longer separately illustrated to various possible combinations.
Additionally, can also be combined between a variety of implementation methods of the invention, as long as its Without prejudice to thought of the invention, it should equally be considered as content disclosed in this invention.

Claims (10)

1. a kind of to encode the method for being decoded to FM0, the method includes:
Position window width in acquisition FM0 coded datas between two neighboring maximum;
By in the data buffer storage to be decoded corresponding with institute rheme window width to data buffer, will with it is described Window width corresponding flag bit data buffer storage in position is in mark buffer and institute rheme window width, described Data to be decoded and the flag bit data are corresponded;
Data to be decoded to having cached are synchronized, with confirm in the data to be decoded which two it is adjacent Bit represents a code element;And
Sequentially read from the data buffer and the mark buffer respectively described two dibits Data to be decoded and the flag bit data, if the flag bit data that are read indicate to be read it is to be decoded Data need not carry out error correction, then data to be decoded decoding directly to being read, if the mark for being read The data to be decoded that position data instruction is read need to carry out error correction, then the data to be decoded for being read are entangled Decoded again after mistake.
2. method according to claim 1, wherein, the bit rate of the FM0 codings is 1/T, Then institute's rheme window width includes T, 1.5T and 2T.
3. method according to claim 2, wherein:
Institute rheme window width is T, then the data to be decoded corresponding to this window width be 01, it is corresponding Flag bit data are 00;
Institute's rheme window width is 1.5T, if the rising edge between two neighboring maximum is located in the middle of this window At the position of side to the left, then the data to be decoded corresponding to this window width are 011, corresponding mark Position data are 010, if the rising edge between two neighboring maximum is located at the position of the center-right side of this window Place is put, then the data to be decoded corresponding to this window width are that 001, corresponding flag bit data are 010;
Institute rheme window width is 2T, then the data to be decoded corresponding to this window width be 0011, it is right The flag bit data answered are 0000.
4. method according to claim 1, wherein, waiting of having cached is solved according to preamble Code data are synchronized.
5. the method according to any claim in Claims 1-4, wherein:
If the flag bit data for being read indicate the first bit needs in the data to be decoded for being read to entangle Mistake, then according to after in two bits before the data to be decoded that this is read in the data buffer One bit carries out error correction;
If the flag bit data for being read indicate the second bit needs in the data to be decoded for being read to entangle Mistake, then according to before in two bits after the data to be decoded that this is read in the data buffer One bit carries out error correction.
6. a kind of to encode the device for being decoded to FM0, the device includes:
Position window width acquisition module, for obtaining in FM0 coded datas between two neighboring maximum Position window width;
Cache module, for by the to be decoded data buffer storage corresponding with institute rheme window width to data buffer storage In device, will be in the flag bit data buffer storage corresponding with institute rheme window width to mark buffer and described Position window width, the data to be decoded and the flag bit data are corresponded;
Synchronization module, for being synchronized to the data to be decoded for having cached, to confirm the number to be decoded Which two adjacent bit represents a code element in;And
Decoder module, for sequentially comparing two-by-two from the data buffer and the mark buffer respectively Specially the data to be decoded and the flag bit data are read, if the flag bit data for being read indicate institute The data to be decoded for reading need not carry out error correction, then data to be decoded decoding directly to being read, if The flag bit data that are read indicate the data to be decoded for being read to need to carry out error correction, then to being read Decoded again after correcting data error to be decoded.
7. device according to claim 6, wherein, the bit rate of the FM0 codings is 1/T, Then institute's rheme window width includes T, 1.5T and 2T.
8. device according to claim 7, wherein:
Institute rheme window width is T, then the data to be decoded corresponding to this window width be 01, it is corresponding Flag bit data are 00;
Institute's rheme window width is 1.5T, if the rising edge between two neighboring maximum is located in the middle of this window At the position of side to the left, then the data to be decoded corresponding to this window width are 011, corresponding mark Position data are 010, if the rising edge between two neighboring maximum is located at the position of the center-right side of this window Place is put, then the data to be decoded corresponding to this window width are that 001, corresponding flag bit data are 010;
Institute rheme window width is 2T, then the data to be decoded corresponding to this window width be 0011, it is right The flag bit data answered are 0000.
9. device according to claim 6, wherein, the synchronization module is according to preamble pair The data to be decoded for having cached are synchronized.
10. the device according to any claim in claim 6 to 9, wherein:
If the flag bit data for being read indicate the first bit needs in the data to be decoded for being read to entangle Mistake, then the decoder module is according to two before the data to be decoded that this is read in the data buffer Latter bit in individual bit carries out error correction;
If the flag bit data for being read indicate the second bit needs in the data to be decoded for being read to entangle Mistake, then the decoder module is according to two after the data to be decoded that this is read in the data buffer Previous bit in individual bit carries out error correction.
CN201510993979.1A 2015-12-25 2015-12-25 The method and apparatus being decoded are encoded to FM0 Active CN106921462B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201510993979.1A CN106921462B (en) 2015-12-25 2015-12-25 The method and apparatus being decoded are encoded to FM0

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201510993979.1A CN106921462B (en) 2015-12-25 2015-12-25 The method and apparatus being decoded are encoded to FM0

Publications (2)

Publication Number Publication Date
CN106921462A true CN106921462A (en) 2017-07-04
CN106921462B CN106921462B (en) 2019-11-29

Family

ID=59456355

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201510993979.1A Active CN106921462B (en) 2015-12-25 2015-12-25 The method and apparatus being decoded are encoded to FM0

Country Status (1)

Country Link
CN (1) CN106921462B (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109922017A (en) * 2017-12-13 2019-06-21 航天信息股份有限公司 A kind of coding/decoding method, device and the reader of FM0 coded data
CN111245558A (en) * 2018-11-29 2020-06-05 北京聚利科技有限公司 Decoding method, device and equipment for FM0 code and readable storage medium
CN112787755A (en) * 2020-12-30 2021-05-11 北京千方科技股份有限公司 Decoding method and device based on backward error correction mechanism and electronic equipment
CN113434544A (en) * 2021-06-02 2021-09-24 中科驭数(北京)科技有限公司 Database data reading method, database data writing method and device

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101571906A (en) * 2009-06-02 2009-11-04 北京大学深圳研究生院 Decoder and decoding method of RFID receiver
CN102055481A (en) * 2009-11-03 2011-05-11 中兴通讯股份有限公司 Method and device for decoding FM0 and FM0 codes
US20110170487A1 (en) * 2004-04-14 2011-07-14 Atmel Corporation Method and Apparatus for Data Communication Between a Base Station and a Transponder
CN102522996A (en) * 2011-12-08 2012-06-27 北京握奇数据系统有限公司 Decoding method and decoding device of FM0 coded data
CN102932105A (en) * 2012-10-31 2013-02-13 上海坤锐电子科技有限公司 Decoding method for FM0 coding based on Viterbi algorithm
CN104361370A (en) * 2014-11-04 2015-02-18 上海复亚微电子有限公司 Method for judging FM0 coding frame header of ultrahigh frequency RFID (radio frequency identification) reader
CN104820812A (en) * 2015-04-12 2015-08-05 浙江海康科技有限公司 Method and device for decoding miller code modulated by subcarrier
CN204613959U (en) * 2015-04-12 2015-09-02 浙江海康科技有限公司 A kind of miller code decoding device of sub-carrier modulation

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110170487A1 (en) * 2004-04-14 2011-07-14 Atmel Corporation Method and Apparatus for Data Communication Between a Base Station and a Transponder
CN101571906A (en) * 2009-06-02 2009-11-04 北京大学深圳研究生院 Decoder and decoding method of RFID receiver
CN102055481A (en) * 2009-11-03 2011-05-11 中兴通讯股份有限公司 Method and device for decoding FM0 and FM0 codes
CN102522996A (en) * 2011-12-08 2012-06-27 北京握奇数据系统有限公司 Decoding method and decoding device of FM0 coded data
CN102932105A (en) * 2012-10-31 2013-02-13 上海坤锐电子科技有限公司 Decoding method for FM0 coding based on Viterbi algorithm
CN104361370A (en) * 2014-11-04 2015-02-18 上海复亚微电子有限公司 Method for judging FM0 coding frame header of ultrahigh frequency RFID (radio frequency identification) reader
CN104820812A (en) * 2015-04-12 2015-08-05 浙江海康科技有限公司 Method and device for decoding miller code modulated by subcarrier
CN204613959U (en) * 2015-04-12 2015-09-02 浙江海康科技有限公司 A kind of miller code decoding device of sub-carrier modulation

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109922017A (en) * 2017-12-13 2019-06-21 航天信息股份有限公司 A kind of coding/decoding method, device and the reader of FM0 coded data
CN111245558A (en) * 2018-11-29 2020-06-05 北京聚利科技有限公司 Decoding method, device and equipment for FM0 code and readable storage medium
CN112787755A (en) * 2020-12-30 2021-05-11 北京千方科技股份有限公司 Decoding method and device based on backward error correction mechanism and electronic equipment
CN112787755B (en) * 2020-12-30 2022-12-02 北京千方科技股份有限公司 Decoding method and device based on backward error correction mechanism and electronic equipment
CN113434544A (en) * 2021-06-02 2021-09-24 中科驭数(北京)科技有限公司 Database data reading method, database data writing method and device

Also Published As

Publication number Publication date
CN106921462B (en) 2019-11-29

Similar Documents

Publication Publication Date Title
CN106921462A (en) The method and apparatus decoded to FM0 codings
US8098783B2 (en) Training pattern for a biased clock recovery tracking loop
US8402355B2 (en) Signal processing device and error correction method
US10396921B2 (en) Multi-lane synchronization method, synchronization apparatus and system, and computer storage medium
US20140307759A1 (en) Isolated Serializer-Deserializer
CA1119305A (en) Error correction for signals employing the modified duobinary code
CN104301006B (en) A kind of multichannel slow frequency hopping signal anti-interference process system
JP2001111634A (en) Pulse position method, decoding method, data communication system and data transmission system
CN105763205A (en) Spiral interleaver parameter blind estimation method based on Gauss column elimination
CN106921466A (en) The method and apparatus decoded to FM0 codings
CN111016973A (en) Interconnection subway beacon positioning processing system
CN103379077A (en) Frame synchronization and symbol synchronization method and device in wireless communication system
CN102231890B (en) Special burst detection method and device
CN102055481B (en) Method and device for decoding FM0 and FM0 codes
CN108833321A (en) Code CPM signal code block synchronization method based on differential phase waveform matching
KR20010007070A (en) A method and apparatus for enabling transmission of variable length encoded data in a low signal to noise ratio environment
CN102111239A (en) Cascading Hadamard code-aided carrier synchronization method under extremely low signal to noise ratio (SNR)
US4414662A (en) System for the transmission of digital data in packets
EP2632078B1 (en) Resynchronization method of a received stream of groups of bits
JPH03274933A (en) Interleave synchronizing circuit
CN112073151A (en) Telemetry PCM decoding and frame synchronization method based on FPGA
JPS648942B2 (en)
US20210126651A1 (en) Data processing device and method
CN116961866A (en) Fault-tolerant synchronous clock transmission system
CN114520655A (en) Digital isolator and digital signal transmission method

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant