CN106257689B - Semiconductor device and method for manufacturing the same - Google Patents

Semiconductor device and method for manufacturing the same Download PDF

Info

Publication number
CN106257689B
CN106257689B CN201610424289.9A CN201610424289A CN106257689B CN 106257689 B CN106257689 B CN 106257689B CN 201610424289 A CN201610424289 A CN 201610424289A CN 106257689 B CN106257689 B CN 106257689B
Authority
CN
China
Prior art keywords
pattern
work function
gate
function metal
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201610424289.9A
Other languages
Chinese (zh)
Other versions
CN106257689A (en
Inventor
李厚容
金完敦
宋在烈
玄尚镇
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of CN106257689A publication Critical patent/CN106257689A/en
Application granted granted Critical
Publication of CN106257689B publication Critical patent/CN106257689B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28114Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor characterised by the sectional shape, e.g. T, inverted-T
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Abstract

A semiconductor device includes a gate structure of an active pattern through a substrate. The semiconductor device may include a gate dielectric pattern between the substrate and the gate electrode. The gate structure includes a gate electrode, a cap pattern on the gate electrode, and one or more low-k dielectric layers at least partially covering one or more sidewalls of the cap pattern. The gate structure may include spacers at opposite sidewalls of the gate electrode and a separate low-k dielectric layer between the cap pattern and the spacers. The width of the cap pattern may be smaller than the width of the gate electrode. The cap pattern has a first dielectric constant, and the one or more low-k dielectric layers have a second dielectric constant. The second dielectric constant is less than the first dielectric constant. The second dielectric constant may be greater than or equal to 1.

Description

Semiconductor device and method for manufacturing the same
Cross Reference to Related Applications
This application claims priority from korean patent application No.10-2015-0085210, filed by the korean intellectual property office at 16.6.2015, the entire disclosure of which is incorporated herein by reference.
Technical Field
Example embodiments of the present inventive concept relate to a semiconductor device and/or a method of manufacturing the same, and particularly, to a semiconductor device having a field effect transistor and/or a method of manufacturing the same.
Background
Due to the small size and the characteristics of multifunction and/or low cost, semiconductor devices are widely used as important components in the electronics industry. The semiconductor device may be classified into at least one of a memory device for storing data, a logic device for processing data, and a hybrid device including both a memory element and a logic element. In some cases, in order to enable electronic devices to have fast and/or low power consumption, the complexity and/or integration density of semiconductor devices is increased to provide semiconductor devices having high reliability, high performance, and/or multiple functions.
Disclosure of Invention
Example embodiments of the inventive concepts provide a semiconductor device in which a field effect transistor having improved electrical characteristics is provided.
Some example embodiments of the inventive concepts provide a method of manufacturing a semiconductor device in which a field effect transistor having improved electrical performance is provided.
According to some example embodiments of the inventive concepts, a semiconductor device may include: a substrate including an active pattern; and a gate structure passing through the active pattern. The gate structure may include: a gate electrode; a cap pattern on the gate electrode; spacers extending parallel to opposite sidewalls of the gate electrode; and a low-k dielectric layer between the cap pattern and the spacer. The cap pattern may have a first dielectric constant, and the low-k dielectric layer may have a second dielectric constant. A bottom surface of each low-k dielectric layer may be located at a higher level than a bottom surface of the gate electrode, and the second dielectric constant may be greater than or equal to 1 and may be less than the first dielectric constant.
In some example embodiments, the semiconductor device may further include an interlayer insulating layer covering the gate structure. Each low-k dielectric layer may be surrounded by the interlayer insulating layer, a separate spacer of the spacers, the cap pattern, and the gate electrode.
In some example embodiments, each low-k dielectric layer may be in direct contact with separate ones of the cap patterns and the spacers.
In some example embodiments, the cap pattern, the spacer, and the low-k dielectric layer may have coplanar top surfaces.
In some example embodiments, the gate structure may further include a gate dielectric pattern between the substrate and the gate electrode, the gate dielectric pattern may include a first extension portion extending in a direction perpendicular to a top surface of the substrate, and the gate electrode may cover a top surface of the first extension portion.
In some example embodiments, the gate structure may further include a barrier pattern between the substrate and the gate electrode, the barrier pattern may include a second extension portion extending in a direction perpendicular to a top surface of the substrate, and the gate electrode may cover a top surface of the second extension portion.
In some example embodiments, the barrier pattern may include a first barrier layer and a second barrier layer sequentially stacked on the substrate, and the first barrier layer and the second barrier layer may include different materials.
In some example embodiments, a width of an upper portion of the gate electrode may be greater than a width of a lower portion of the gate electrode.
In some example embodiments, the gate electrode may include a first work function metal pattern and an electrode pattern on an upper portion of the first work function metal pattern, and a width of the electrode pattern may be smaller than a width of the upper portion of the first work function metal pattern.
In some example embodiments, the low-k dielectric layer may cover opposite sidewalls of the electrode pattern and a portion of a top surface of the first work function metal pattern.
In some example embodiments, a width of the electrode pattern may be substantially equal to a width of the cover pattern.
In some example embodiments, the gate electrode may include a first work function metal pattern and an electrode pattern on the first work function metal pattern, and a width of the electrode pattern may be greater than a width of the cover pattern.
In some example embodiments, the top surface of the electrode pattern may be located at a higher level than the bottom surface of the cap pattern.
In some example embodiments, the gate electrode may include a first work function metal pattern, a second work function metal pattern, and an electrode pattern on the first work function metal pattern and the second work function metal pattern, and a top surface of the first work function metal pattern may be coplanar with a top surface of the second work function metal pattern.
In some example embodiments, the first work function metal pattern may have a first resistance, the second work function metal pattern may have a second resistance, and the electrode pattern may have a third resistance. The second resistance may be less than the first resistance and greater than the third resistance.
In some example embodiments, the low-k dielectric layer may include at least one of a gaseous material and a silicon oxide material.
In some example embodiments, the semiconductor device may further include a device isolation layer disposed in the substrate to define the active pattern. The active pattern may include an upper portion protruding between the device isolation layers.
According to some example embodiments of the inventive concepts, a semiconductor device may include: a substrate including an active pattern; and a gate structure passing through the active pattern. The gate structure may include: a gate electrode; a cap pattern on the gate electrode; and a low-k dielectric layer covering both sidewalls of the cap pattern. The width of the cap pattern may be smaller than the width of the gate electrode, and the dielectric constant of the low-k dielectric layer may be in a range of 1 to 4. The gate electrode may have a first width, and the cap pattern may have a second width. The first width of the gate electrode may be a maximum width of the gate electrode.
In some example embodiments, the gate electrode may include a work function metal pattern and an electrode pattern on the work function metal pattern, and a width of an upper portion of the work function metal pattern may be greater than a width of a lower portion of the work function metal pattern.
In some example embodiments, the low-k dielectric layer may cover both sidewalls of the electrode pattern.
In some example embodiments, the top surface of the electrode pattern may be located at a higher level than the bottom surface of the cap pattern.
According to some example embodiments of the inventive concepts, a semiconductor device may include: a substrate; a device isolation layer in the substrate to define an active pattern; and a gate structure passing through the active pattern. The gate structure may include: a gate electrode and a gate dielectric pattern between the substrate and the gate electrode. The gate dielectric pattern may include a first extension portion extending in a direction perpendicular to a top surface of the substrate, and the gate electrode may cover a top surface of the first extension portion.
In some example embodiments, the gate structure may further include a barrier pattern between the gate dielectric pattern and the gate electrode. The barrier pattern may include a second extension portion extending in a direction perpendicular to a top surface of the substrate, and the gate structure may be disposed to cover the top surface of the second extension portion.
According to some example embodiments of the inventive concepts, a method of manufacturing a semiconductor device may include: forming a device isolation layer in the substrate to define an active pattern; forming a sacrificial gate pattern through the active pattern and a set of spacers covering opposite sidewalls of the sacrificial gate pattern; removing the sacrificial gate pattern to form a gate trench defined by the spacer; forming a gate electrode and a cap pattern sequentially filling the gate trench; and recessing an upper portion of the gate electrode using the cap pattern as an etch mask to form a set of recessed regions exposing opposite sidewalls of the cap pattern.
In some example embodiments, the cap patterns may have a first dielectric constant, and the recess regions may be respectively filled with low-k dielectric layers having a second dielectric constant. The second dielectric constant may be greater than or equal to 1 and may be less than the first dielectric constant.
In some example embodiments, the low-k dielectric layer may include a gaseous material.
In some example embodiments, the method may further comprise the step of: an interlayer insulating layer covering the cap pattern is formed. The interlayer insulating layer may include silicon oxide. The interlayer insulating layer may at least partially fill the recessed region such that the low-k dielectric layer includes silicon oxide.
In some example embodiments, before forming the gate electrode, the method may further include the steps of: forming a gate dielectric layer to partially fill the gate trench, a portion of the gate dielectric layer covering the spacer; and partially removing the gate dielectric layer covering the spacers to form a gate dielectric pattern.
In some example embodiments, the forming of the gate electrode may include: forming a work function metal layer; recessing an upper portion of the work function metal layer to form a work function metal pattern; and forming an electrode pattern covering a top surface of the work function metal pattern.
In some example embodiments, a semiconductor device includes: a substrate including an active pattern; and a gate structure passing through the active pattern. The gate structure may include: a gate electrode; a cap pattern on the gate electrode, the cap pattern having a first dielectric constant; and a low-k dielectric layer at least partially covering sidewalls of the cap pattern, the low-k dielectric layer having a second dielectric constant, the second dielectric constant being less than the first dielectric constant.
In some example embodiments, the gate electrode includes an extension portion covering a lower portion of a sidewall of the cap pattern.
In some example embodiments, the low-k dielectric layer includes a first portion and a second portion, the first portion and the second portion including different materials.
In some example embodiments, the first portion covers an upper portion of the sidewall and the second portion covers a lower portion of the sidewall.
In some example embodiments, the semiconductor device further includes an interlayer insulating layer covering the gate structure, wherein the first portion of the low-k dielectric layer is an extension of the interlayer insulating layer.
Drawings
Example embodiments will be more clearly understood from the following brief description in conjunction with the accompanying drawings. The drawings represent non-limiting example embodiments described herein.
Fig. 1 is a plan view schematically illustrating a semiconductor device according to some example embodiments of the inventive concept.
Fig. 2 is a plan view illustrating a portion of a semiconductor device according to some example embodiments of the inventive concepts.
Fig. 3A is a sectional view taken along lines I-I 'and II-II' of fig. 2.
Fig. 3B is a sectional view taken along line III-III' of fig. 2.
Fig. 4A, 4B, 4C, and 4D are enlarged cross-sectional views illustrating a gate structure (e.g., of portion M of fig. 3B) according to some example embodiments of the inventive concept.
Fig. 5A, 5B, 6A, 6B, 7A, 7B, 8A, 8B, 9A, 9B, 10A, 10B, 11A, 11B, 12A, 12B, 13A, 13B, 14A, and 14B are cross-sectional views illustrating a method of manufacturing a semiconductor device according to some example embodiments of the inventive concept.
Fig. 15 is a block diagram illustrating an example of an electronic system including a semiconductor device according to some example embodiments of the inventive concepts.
Fig. 16 is a block diagram illustrating an example of an electronic device including a semiconductor device according to some example embodiments of the inventive concepts.
Fig. 17 is an equivalent circuit diagram illustrating an SRAM cell according to some example embodiments of the inventive concepts.
Fig. 18, 19 and 20 are diagrams illustrating some examples of a multimedia device including a semiconductor device according to some example embodiments of the inventive concepts.
It should be noted that these drawings are intended to illustrate the general characteristics of methods, structures and/or materials utilized in some example embodiments, and to supplement the written description provided below. The drawings are not necessarily to scale and may not precisely reflect the precise structural or performance characteristics of any given embodiment, and should not be construed as limiting or restricting the scope of values or attributes encompassed by the example embodiments. For example, the relative thicknesses and positions of molecules, layers, regions and/or structural elements may be reduced or exaggerated for clarity. The use of similar or identical reference numbers in the figures is intended to indicate the presence of similar or identical elements or features.
Detailed Description
Some example embodiments of the inventive concept will now be described more fully with reference to the accompanying drawings, in which some example embodiments are shown. Some example embodiments of the inventive concept may, however, be embodied in many different forms and should not be construed as limited to the embodiments set forth herein; rather, these embodiments are provided so that this disclosure will be thorough and complete, and will fully convey the concept of the example embodiments to those skilled in the art. In the drawings, the thickness of layers and regions may be exaggerated for clarity. The same reference numerals in the drawings denote the same elements, and thus the description thereof will be omitted.
It will be understood that, although the terms first, second, etc. may be used herein to describe various elements, components, regions, layers and/or sections, these elements, components, regions, layers and/or sections should not be limited by these terms. These terms are only used to distinguish one element, component, region, layer or section from another element, component, region, layer or section. Thus, a first element, component, region, layer or section discussed below could be termed a second element, component, region, layer or section without departing from the teachings of the example embodiments.
Spatially relative terms such as "below … …," "below … …," "lower," "above … …," "upper," and the like may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as shown. It will be understood that these spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures. For example, if the device in the figures is turned over, elements described as "below" or "beneath" other elements or features would then be oriented "above" the other elements or features. Thus, the exemplary term "below … …" can encompass both orientations of "above … …" and "below … …". The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted accordingly.
The terminology used herein is for the purpose of describing particular embodiments only and is not intended to be limiting of example embodiments. As used herein, the singular forms "a", "an" and "the" are intended to include the plural forms as well, unless the context clearly indicates otherwise. It will be further understood that the terms "comprises," "comprising," "… …," "includes" and/or "including … …," when used herein, specify the presence of stated features, integers, steps, operations, elements, and/or components, but do not preclude the presence or addition of one or more other features, integers, steps, operations, elements, components, and/or groups thereof.
Example embodiments of the present inventive concept are described herein with reference to cross-sectional illustrations that are schematic illustrations of idealized embodiments (and intermediate structures) of the example embodiments. Thus, various changes to the shape of the illustrations, for example, due to manufacturing techniques and/or tolerances, are contemplated. Accordingly, example embodiments of the inventive concept should not be construed as limited to the particular shapes of regions illustrated herein but are to include deviations in shapes that result, for example, from manufacturing. For example, an implanted region illustrated as a rectangle may have rounded or curved features and/or a gradient of implant concentration at its edges rather than a binary change from implanted to non-implanted region. Also, a buried region formed by implantation may result in some implantation in the region between the buried region and the surface through which the implantation occurs. Thus, the regions illustrated in the figures are schematic in nature and their shapes are not intended to illustrate the actual shape of a region of a device and are not intended to limit the scope of example embodiments.
Unless otherwise defined, all terms (including technical and scientific terms) used herein have the same meaning as commonly understood by one of ordinary skill in the art to which example embodiments of the inventive concept belong. It will be further understood that terms, such as those defined in commonly used dictionaries, should be interpreted as having a meaning that is consistent with their meaning in the context of the relevant art and will not be interpreted in an idealized or overly formal sense unless expressly so defined herein.
Fig. 1 is a plan view schematically illustrating a semiconductor device according to some example embodiments of the inventive concept.
Referring to fig. 1, a semiconductor device according to some example embodiments of the inventive concepts may include a plurality of logic cells C1, C2, C3, and C4 disposed on a substrate 100. Each of the logic cells C1, C2, C3, and C4 may include a plurality of transistors. As an example, the semiconductor device may include a first logic cell C1, a second logic cell C2 spaced apart from the first logic cell C1 in a first direction D1, a third logic cell C3 spaced apart from the first logic cell C1 in a second direction D2 crossing the first direction D1, and a fourth logic cell C4 spaced apart from the second logic cell C2 in the second direction D2. Each of the logic cells C1, C2, C3, and C4 may include a plurality of active regions separated from each other by a device isolation layer 104. Each of the logic cells C1, C2, C3, and C4 may include a PMOSFET region PR and an NMOSFET region NR separated from each other by a device isolation layer 104.
As an example, the PMOSFET region PR and the NMOSFET region NR may be spaced apart from each other in the first direction D1. The PMOSFET region PR of the first logic cell C1 may be disposed adjacent to the PMOSFET region PR of the second logic cell C2 in the first direction D1. In the following description, the term "logic unit" may refer to a unit circuit configured to perform a single logic operation. Furthermore, the number of logic cells may be different from that shown in the figures.
Fig. 2 is a plan view illustrating a portion of a semiconductor device according to some example embodiments of the inventive concepts. For example, fig. 2 is a plan view illustrating the first logic unit C1 of fig. 1. Hereinafter, various example embodiments of the inventive concept will be described with reference to the first logic cell C1 of fig. 1, but some logic cells may have substantially the same or similar structure as the first logic cell C1. Fig. 3A is a sectional view taken along lines I-I 'and II-II' of fig. 2. Fig. 3B is a sectional view taken along line III-III' of fig. 2.
Referring to fig. 2, 3A and 3B, a device isolation layer 104 may be disposed in the substrate 100 to define a PMOSFET region PR and an NMOSFET region NR. A device isolation layer 104 may be formed in the top of the substrate 100. In some example embodiments, device isolation layer 104 may include an insulating material, such as silicon oxide.
The PMOSFET region PR and the NMOSFET region NR may be spaced apart from each other in a first direction D1 parallel to the top surface of the substrate 100 by the device isolation layer 104 interposed therebetween. Although each of the PMOSFET region PR and the NMOSFET region NR is illustrated as a single region, it may include a plurality of regions separated from each other by the device isolation layer 104.
A plurality of active patterns AP may be disposed on the PMOSFET region PR and the NMOSFET region NR to extend in a second direction D2 crossing the first direction D1. The active patterns AP may be arranged along the first direction D1. The active pattern AP may have a first conductive type. The device isolation layer 104 may be disposed at both sides of each active pattern AP to define the active pattern AP. Although the number of active patterns AP disposed on each of the PMOSFET region PR and the NMOSFET region NR is illustrated as three, example embodiments of the inventive concept are not limited thereto.
Each of the active patterns AP may include active fins AF protruding between the device isolation layers 104. For example, each active fin AF may have a structure protruding from the active pattern AP in a third direction D3 perpendicular to the top surface of the substrate. Each active fin AF may include source/drain SD and a channel region CHR interposed between the source/drain SD.
In some example embodiments, the gate structure GS may be disposed on the substrate 100 to intersect the active pattern AP. The gate structures GS may overlap the channel regions CHR of the active fins AF, respectively, when viewed in a plan view. In other words, the gate structure GS may be disposed to cross the active fin AF and extend parallel to the first direction D1, and may be a line-shaped structure. Each gate structure GS may include a gate spacer 125, a gate dielectric pattern 131, a barrier pattern 133, a gate electrode 135, a cap pattern 145, and one or more low-k dielectric layers 143 between the cap pattern 145 and the separated gate spacer 125. The gate structure GS will be described in more detail below.
The source/drain electrodes SD may be disposed on or in the active fin AF and disposed at both sides of each gate structure GS. The source/drain electrodes SD may be epitaxial patterns epitaxially grown from the active patterns AP. In some example embodiments, the top surface of the channel region CHR may be located at a higher level than the bottom surface of the source/drain SD when viewed in a vertical sectional view. In some example embodiments, the top surface of the source/drain SD may be located at the same level as or higher than the top surface of the channel region CHR.
The source/drain electrodes SD may include a semiconductor element different from that of the substrate 100. For example, the source/drain SD may be formed of or include a semiconductor material having a different (e.g., greater or less) lattice constant than the substrate 100. Accordingly, a compressive stress or a tensile stress may be applied to the channel region CHR. In some example embodiments, the substrate 100 is a silicon wafer and the source/drain electrodes SD may be formed of or include a silicon germanium (e.g., e-SiGe) layer or a germanium layer. In this case, the source/drain SD may exert a compressive stress on the channel region CHR (of the PMOS field effect transistor, preferably). In some example embodiments, the substrate 100 is a silicon wafer and the source/drain electrodes SD may be formed of or include a silicon carbide (SiC) layer. In this case, the source/drain SD may exert a tensile stress on the channel region CHR (of the NMOS field effect transistor, preferably). When the field effect transistor operates, the compressive stress or the tensile stress to be exerted on the channel region CHR by the source/drain SD may increase the mobility of carriers in the channel region CHR. The source/drain electrodes SD may have a second conductive type different from that of the active pattern AP.
The first interlayer insulating layer 150 may be disposed on the substrate 100. The first interlayer insulating layer 150 may be disposed to cover sidewalls of the source/drain electrodes SD and the gate structure GS. A top surface of the first interlayer insulating layer 150 may be substantially coplanar with a top surface of the gate structure GS. A second interlayer insulating layer 155 may be formed on the first interlayer insulating layer 150 to cover the gate structure GS.
In addition, contacts CA may be disposed at both sides of each gate electrode 135 and may be electrically connected to the source/drain electrodes SD through the first and second interlayer insulating layers 150 and 155. Each contact CA may be connected to a corresponding one or more source/drains SD, but example embodiments of the inventive concept are not limited thereto. Each contact CA may include a conductive pillar CP and a contact barrier layer BL surrounding the conductive pillar CP. The contact barrier layer BL may be disposed to cover side and bottom surfaces of the conductive pillar CP. The conductive pillar CP may be formed of or include a metal material (e.g., tungsten). The contact barrier layer BL may be formed of or include at least one metal nitride (e.g., Ti/TiN).
Although not shown, metal silicide layers may be interposed between the source/drain electrodes SD and the contacts CA, respectively. For example, the contact CA may be electrically connected to the source/drain SD through a metal silicide layer. The metal silicide layer SC may be formed of or include at least one metal silicide material (e.g., titanium silicide, tantalum silicide, or tungsten silicide).
The gate contact CB and the wire CBL may be disposed on one gate electrode 135. The first via V1 may be disposed between the gate contact CB and the wire CBL. The wire CBL may be electrically connected to the one gate electrode 135 through the first via hole V1 and the gate contact CB to serve as a current path for applying a signal to the one gate electrode 135.
The first logic cell C1 may include a first conductive line PW1 disposed near an outer edge of the PMOSFET region PR and a second conductive line PW2 disposed near an outer edge of the NMOSFET region NR. As an example, the first conductor PW1 on the PMOSFET region PR may act on a current path that transmits a drain voltage Vdd (e.g., a power voltage). The second conductor PW2 on the NMOSFET region NR may serve as a current path for transmitting the source voltage Vss (e.g., ground voltage).
Referring back to fig. 1 and 2, the first wire PW1 and the second wire PW2 may extend parallel to the second direction D2, and the first wire PW1 and the second wire PW2 may be shared by a plurality of logic cells arranged adjacent to each other in the second direction D2. As an example, the first conductor PW1 may be shared by the first logic cell C1 and the third logic cell C3. In addition, the first conductive line PW1 may be shared by the PMOSFET regions PR of the first logic cell C1 and the second logic cell C2.
In some example embodiments, the second through hole V2 may be provided on one contact CA. Accordingly, the source/drain SD connected to the one contact CA may be electrically connected to the first wire PW1 through the one contact CA and the second via V2. Similarly, the source/drain SD on the NMOSFET region NR may also be electrically connected to the second wire PW2 through one contact CA and the third via V3.
Fig. 4A, 4B, 4C, and 4D are enlarged cross-sectional views illustrating some examples of the gate structure GS (e.g., of the portion M of fig. 3B) according to some example embodiments of the inventive concept. The gate structure GS according to some example embodiments of the inventive concept will be described in more detail with reference to fig. 4A, 4B, 4C, and 4D.
In some example embodiments, as shown in fig. 2, 3A, 3B, and 4A, the gate electrode 135 may have a line-shaped structure crossing the active pattern AP and extending in the first direction D1. For example, the gate electrode 135 may include a work function metal pattern WF and an electrode pattern EP on the work function metal pattern WR.
A set of gate spacers 125 may be disposed on opposing sidewalls of the gate electrode 135. It should be understood that the set of gate spacers 125 may include a pair of gate spacers 125 disposed on opposing sidewalls of the gate electrode 135. The gate spacers 125 may extend along the gate electrode 135 in parallel with at least opposite sidewalls of the cap pattern 145 or along the gate electrode 135 in the first direction D1. The top surface of each gate spacer 125 may be located at a higher level than the top surface of the gate electrode 135. In addition, each gate spacerThe top surface of 125 may be coplanar with the top surface of the first interlayer insulating layer 150. The gate spacers 125 may comprise SiO2At least one of SiCN, SiCON or SiN. Alternatively, the gate spacer 125 may have a structure including SiO2A multilayer structure of at least one of SiCN, SiCON or SiN.
The gate dielectric pattern 131 may be disposed between the gate electrode 135 and the substrate 100 and between the gate electrode 135 and the gate spacer 125. The gate dielectric pattern 131 may extend along a bottom surface of the gate electrode 135. For example, as shown in fig. 3A, the gate dielectric pattern 131 may be disposed to cover the top surface and the side surface of the channel region CHR. The gate dielectric pattern 131 may horizontally extend from the active fin AF to partially cover the top surface of the device isolation layer 104. In some example embodiments, the gate dielectric pattern 131 may be disposed to partially expose a top surface of the device isolation layer 104. The exposed portion of the top surface of the device isolation layer 104 may be covered with a first interlayer insulating layer 150 (e.g., see a cross section taken along line II-II' of fig. 3A).
In addition, as shown in fig. 4A, the gate dielectric pattern 131 may include a pair of first extension portions 125E. The first extension portion 125E may extend in the third direction D3 along the inner sidewall of the gate spacer 125. The top surface of the first extension portion 125E may be covered with the work function metal pattern WR of the gate electrode 135. For example, the top surface of the first extension portion 125E may be located between the top surface and the bottom surface of the work function metal pattern WF.
The gate dielectric pattern 131 may include a high-k dielectric material. For example, the gate dielectric pattern 131 may be formed of or include at least one of hafnium oxide, hafnium silicon oxide, lanthanum oxide, zirconium silicon oxide, tantalum oxide, titanium oxide, barium strontium titanium oxide, barium titanium oxide, strontium titanium oxide, lithium oxide, aluminum oxide, lead scandium tantalum oxide, or lead zinc niobate.
The barrier pattern 133 may be interposed between the gate electrode 135 and the gate dielectric pattern 131. The barrier pattern 133 may extend along the bottom surface of the gate electrode 135 together with the gate dielectric pattern 131. Referring back to fig. 4A, the barrier pattern 133 may include a pair of second extension portions 133E. The second extension part 133E may extend along an inner sidewall of the first extension part 125E in the third direction D3. The top surface of the second extension portion 133E may be substantially coplanar with the top surface of the first extension portion 125E. In addition, the top surface of the second extension portion 133E may be covered with the work function metal pattern WF. For example, the top surface of the second extension portion 133E may be located between the top surface and the bottom surface of the work function metal pattern WF.
In addition, the barrier pattern 133 may include a first barrier layer 133a and a second barrier layer 133b sequentially stacked on the substrate 100. The first barrier layer 133a may prevent diffusion of the metal element from the work function metal pattern WF to the gate dielectric pattern 131. The second barrier layer 133b may protect the first barrier layer 133a and the gate dielectric pattern 131 during the etching process. In some example embodiments, the barrier pattern 133 may further include a third barrier layer 133c interposed between the second barrier layer 133b and the work function metal pattern WF. The diffusion of the metal element contained in the work function metal pattern WF can be more effectively prevented by the third barrier layer 133 c.
The first barrier layer 133a, the second barrier layer 133b, and the third barrier layer 133c may include metal layers formed of the same material or different materials. As one example, the first, second, and third barrier layers 133a, 133b, and 133c may include binary metal nitrides (e.g., titanium nitride (TiN), tantalum nitride (TaN), tungsten nitride (WN), and hafnium nitride (HfN)) and/or ternary metal nitrides (e.g., titanium aluminum nitride (TiAlN), tantalum aluminum nitride (TaAlN), and hafnium aluminum nitride (HfAlN)). In some example embodiments, the first barrier layer 133a may be formed of or include a titanium nitride layer (TiN), the second barrier layer 133b may be formed of or include a tantalum nitride layer (TaN), and the third barrier layer 133c may be formed of or include a titanium nitride layer (TiN).
In some example embodiments, the barrier pattern 133 may not cover the top surface of the first extension portion 125E of the gate dielectric pattern 131. In other words, since the work function metal pattern WF is in direct contact with the top surface of the first extension portion 125E, the metal element in the work function metal pattern WF may be diffused into the first extension portion 125E. However, due to the presence of the barrier pattern 133, such a metal element may be prevented from being diffused into a portion of the gate dielectric pattern 131 interposed between the first extension portions 125E or located on the channel region CHR. Therefore, even when the metal element is diffused into the first extension portion 125E, the electric and physical characteristics of the field effect transistor can be prevented from being deteriorated.
The work function metal pattern WF may include a metal material for controlling a work function of the channel region CHR. For example, the work function metal pattern WF may be formed of a conductive material having a specific work function to help control a threshold voltage of the field effect transistor. For example, the work function metal pattern WF may have a work function between about 4.1eV to about 5.2 eV.
The work function metal pattern WF may include a lower portion having a first width W1 and an upper portion having a second width W2 as measured in the second direction D2. Here, the second width W2 may be greater than the first width W1. The second width W2 may be substantially equal to the distance between a pair of gate spacers 125. The work function metal pattern WF may have a width that discontinuously increases in a bottom-up direction of the work function metal pattern WF. The sidewalls of the work function metal pattern WF may have a stepped profile. An interface between the lower portion and the upper portion of the work function metal pattern WF may be located at substantially the same level as the top surfaces of the first and second extension portions 125E and 133E. The work function metal pattern WF may have a substantially flat top surface.
The work function metal pattern WF may be formed of or include at least one of a metal, for example, titanium (Ti), tantalum (Ta), hafnium (Hf), tungsten (W), molybdenum (Mo), or aluminum (Al), a nitride, carbide, silicon nitride, or silicide containing at least one of the above metals. In some example embodiments, the work function metal pattern WF may be formed of or include platinum (Pt), rubidium (Ru), iridium oxide (IrO), or rubidium oxide (RuO).
In some example embodiments, the work function metal pattern WF on the PMOSFET region PR may contain a different material from the work function metal pattern WF on the NMOSFET region NR. This may make a difference between work functions of channel regions disposed on the PMOSFET region PR and the NMOSFET region NR. In some example embodiments, the work function metal pattern WF on the PMOSFET region PR may have a double-layered structure, as will be described in more detail below.
The electrode pattern EP on the work function metal pattern WF may have a third width W3. The third width W3 may be less than the second width W2. The electrode pattern EP may be formed of or include at least one low-resistance metal material, such as aluminum (Al), tungsten (W), titanium (Ti), or tantalum (Ta). In general, the work function metal pattern WF may be formed of a material having a resistance much higher than that of the electrode pattern EP. Therefore, the use of the work function metal pattern WF may cause an increase in the resistance of the gate electrode 135 and deterioration of the AC performance of the field effect transistor. However, since the electrode pattern EP has a relatively low resistance, it is possible to reduce the overall resistance of the gate electrode 135 and improve the AC performance of the field effect transistor.
The cap pattern 145 may be disposed on the gate electrode 135. The cap pattern 145 may extend along the gate electrode 135 or in the first direction D1. The cover pattern 145 may have a fourth width W4, and the fourth width W4 may be less than the second width W2 and may be substantially equal to the third width W3. The top surface of the cap pattern 145 may be located at the same level as the top surface of the gate spacer 125.
The cap pattern 145 may include a material having an etch selectivity with respect to the first and second interlayer insulating layers 150 and 155. For example, the cap pattern 145 may include at least one of SiON, SiCN, SiCON, and SiN. In addition, the cover pattern 145 may have a first dielectric constant.
A recess region RE may be defined between the cap pattern 145 and the gate spacer 125. For example, each of the recessed regions RE may be a blank region defined or surrounded by the work function metal pattern WF, the electrode pattern EP, the cap pattern 145, the second interlayer insulating layer 155, and the gate spacer 125. The top surface of the recess region RE may be located at substantially the same level as the top surfaces of the gate spacer 125 and the cap pattern 145.
The low-k dielectric layer 143 may be formed to fill the recessed region RE. Accordingly, the low-k dielectric layer 143 may cover opposite sidewalls of the cap pattern 145 and opposite sidewalls 192 of the electrode pattern EP. The low-k dielectric layer 143 may be disposed to partially cover the top surface of the work function metal pattern WF. As shown in fig. 4A, for example, the low-k dielectric layer 143 may cover the opposite sidewalls 192 of the electrode pattern EP in addition to the opposite sidewalls 191 of the cover pattern 145. The low-k dielectric layer 143 may be disposed to at least partially cover the inner sidewalls of the gate spacers 125.
The low-k dielectric layer 143 may have a second dielectric constant equal to or higher than 1 and lower than the first dielectric constant. In some example embodiments, the first dielectric constant may be in a range of 4 to 8, and the second dielectric constant may be in a range of 1 to 4. The low-k dielectric layer 143 may comprise a variety of low-k dielectric materials. The low-k dielectric materials may constitute a mixture or may be separated from each other. The low-k dielectric layer 143 may be formed of or include one or more of a gaseous material and a silicon oxide material. In some example embodiments, the low-k dielectric layer 143 may include one or more gaseous materials. Since the dielectric constant of the low-k dielectric layer 143 is lower than that of the cap pattern 145, the parasitic capacitance between the gate electrode 135 and the contact CA may be reduced relative to the parasitic capacitance between the gate electrode 135 and the contact CA in a semiconductor device lacking the dielectric layer 143.
Accordingly, in the gate structure GS according to some example embodiments, the electrode pattern EP may cause the resistance of the gate structure GS and the low-k dielectric layer 143 to be reduced. The electrode pattern EP may enable the parasitic capacitance of the gate structure GS to be reduced. AC performance and RC delay properties of the semiconductor device can be improved.
As another example, referring to fig. 2, 3A, 3B and 4B, the work function metal pattern WF may include a first work function metal pattern WFa and a second work function metal pattern WFb sequentially stacked on the substrate 100. Here, top surfaces of the first and second work function metal patterns WFa and WFb may be substantially coplanar with each other. The first and second work function metal patterns WFa and WFb may include different materials. For example, the first work function metal pattern WFa may have a first resistance, the second work function metal pattern WFb may have a second resistance, and the electrode pattern EP may have a third resistance. The second resistance may be less than the first resistance and greater than the third resistance. Accordingly, the resistance of the gate electrode 135 can be reduced to improve the AC performance of the semiconductor device.
In addition, since the work function metal pattern WF has a double-layer structure, the use of the work function metal pattern WF may be able to variously control the work function of the field effect transistor. For example, the gate structure GS of fig. 4B may be applied to the PMOSFET region PR, and the gate structure GS of fig. 4A may be applied to the NMOSFET region NR. Thus, the work function of each region can be adaptively controlled.
As another example, referring to fig. 2, 3A, 3B, and 4C, the electrode pattern EP may have a fifth width W5. The fifth width W5 may be greater than the fourth width W4 and may be substantially equal to the second width W2.
The electrode pattern EP may include a pair of third extension portions EPE. The third extension portion EPE may extend along the inner sidewall of the gate spacer 125 in the third direction D3. For example, the third extension EPE may partially cover the sidewall of the cover pattern 145. As shown in fig. 4C, the third extension EPE may cover the lower portion 191b of the sidewall 191 of the cap pattern 145, and the low-k dielectric layer 143 may cover the upper portion 191a of the sidewall 191 of the cap pattern 145. The top surface of the third extension EPE may be located at a level higher than the bottom surface of the cap pattern 145. The bottom surface of the recessed region RE may be located at substantially the same level as the top surface of the third extension EPE. Accordingly, the top surface of the third extension EPE may be in direct contact with the low-k dielectric layer 143.
As another example, referring to fig. 2, 3A, 3B, and 4D, the electrode pattern EP may have a sixth width W6, and the cover pattern 145 may have a seventh width W7. The sixth width W6 may be less than the third width W3 described with reference to fig. 4A, and the seventh width W7 may be less than the fourth width W4 described with reference to fig. 4A.
As shown in fig. 4D, the low-k dielectric layer 143 may include a first portion 143a and a second portion 143 b. The first portion 143a may be or may include a gaseous material, and the second portion 143b may be or may include a silicon oxide material. In some example embodiments, the low-k dielectric layer 143 may include a gaseous material and a silicon oxide material sequentially stacked. As shown in fig. 4D, the second portion 143b of the low-k dielectric layer 143 may cover the upper portion 191a of the sidewall 191 of the cap pattern 145. The first portion 143a of the low-k dielectric layer 143 may cover the lower portion 191b of the sidewall 191 of the cap pattern and the sidewall 192 of the electrode pattern EP. In some example embodiments, the second portion 143b of the low-k dielectric layer 143 may cover the sidewall 191 of the cap pattern 145 and a first portion of the sidewall 192 of the electrode pattern EP, and the first portion 143a of the low-k dielectric layer 143 may cover a second portion of the sidewall 192 of the electrode pattern EP. The second portion 143b of the low-k dielectric layer 143, in combination with the second interlayer insulating layer 155, may constitute a single body. In other words, the second portion 143b of the low-k dielectric layer 143 may also be a portion of the second interlayer insulating layer 155 extending into the recessed region RE. This is because, when the sixth width W6 and the seventh width W7 are decreased, the width of the recessed region RE may be increased, and in this case, the recessed region RE may be partially filled with silicon oxide in the process of forming the second interlayer insulating layer 155. As described above, the second portion 143b of the low-k dielectric layer 143, in combination with the second interlayer insulating layer 155, may form a single body, but since the second portion 143b of the low-k dielectric layer 143 is located in the recessed region RE, the second portion 143b of the low-k dielectric layer 143 may be included in one or more low-k dielectric layers 143 according to some example embodiments.
Fig. 5A, 5B, 6A, 6B, 7A, 7B, 8A, 8B, 9A, 9B, 10A, 10B, 11A, 11B, 12A, 12B, 13A, 13B, 14A, and 14B are cross-sectional views illustrating a method of manufacturing a semiconductor device according to some example embodiments of the inventive concept. Fig. 5A, 6A, 7A, 8A, 9A, 10A, 11A, 12A, 13A and 14A are sectional views taken along lines I-I 'and II-II' of fig. 2. Fig. 5B, 6B, 7B, 8B, 9B, 10B, 11B, 12B, 13B, and 14B are sectional views taken along a line III-III' of fig. 2.
Referring to fig. 2, 5A and 5B, the substrate 100 may be patterned to form device isolation trenches 105 defining the active pattern AP. The substrate 100 may be a semiconductor substrate (e.g., of silicon, germanium, or silicon germanium) or a compound semiconductor substrate. The active pattern AP may be doped with impurities to have the first conductive type.
The forming of the device isolation trench 105 may include: a mask pattern is formed on the substrate 100, and the substrate 100 is anisotropically etched using the mask pattern as an etching mask. Each mask pattern may include a first mask pattern 110 and a second mask pattern 115 sequentially stacked on the substrate 100 and formed to have an etch selectivity with respect to each other. Each device isolation trench 105 may be formed to have an aspect ratio of at least 5. In some example embodiments, each device isolation trench 105 may be formed to have a downward taper. Accordingly, each active pattern AP may be formed to have an upward taper.
Referring to fig. 2, 6A and 6B, a device isolation layer 104 may be formed to fill the device isolation trench 105. The forming of the device isolation layer 104 may include: forming an insulating layer (e.g., a silicon oxide layer) to fill the device isolation trench 105; and planarizing the insulating layer to expose a top surface of the first mask pattern 110. As a result of the planarization process, a device isolation layer 104 may be locally formed in the device isolation trench 105.
Referring to fig. 2, 7A, and 7B, the top of the active pattern AP (hereinafter, active fin AF) may be exposed. The exposure of the active fin AF may include: the top of device isolation layer 104 is recessed using, for example, a wet etch process. The recess of the device isolation layer 104 may be performed using an etch recipe having etch selectivity with respect to the active pattern AP. The recess of the device isolation layer 104 may be performed to remove the first mask pattern 110 to expose the top surface of the active fin AF.
A sacrificial gate pattern 106 and a gate mask pattern 108, which are sequentially stacked, may be formed on the active fin AF. Each of the sacrificial gate pattern 106 and the gate mask pattern 108 may be formed to intersect the active fin AF or to have a line-shaped or stripe-shaped structure extending in the first direction D1. For example, the formation of the sacrificial gate pattern 106 and the gate mask pattern 108 may include: sequentially forming a sacrificial gate layer and a gate mask layer on the active fin AF and the device isolation layer 104; and patterning the sacrificial gate layer and the sacrificial mask layer. The sacrificial gate layer may be formed of or include a polysilicon layer. The gate mask layer may be formed of or include a silicon nitride layer or a silicon oxynitride layer.
Gate spacers 125 may be formed on both sidewalls of each sacrificial gate pattern 106. The formation of the gate spacers 125 may include: conformally forming a spacer layer on the resulting structure of the sacrificial gate pattern 106; and anisotropically etching the spacer layer. The spacer layer may be made of SiO2At least one of SiCN, SiCON and SiN. Alternatively, the spacer layer may be formed to have a thickness including SiO2A multilayer structure of at least one of SiCN, SiCON or SiN.
Referring to fig. 2, 8A and 8B, source/drain electrodes SD may be formed at both sides of each sacrificial gate pattern 106. For example, the source/drain SD may be formed by a selective epitaxial growth process using the substrate 100 as a seed layer. The selective epitaxial growth process may include a Chemical Vapor Deposition (CVD) process or a Molecular Beam Epitaxy (MBE) process. Specifically, the active fin AF may be selectively etched using the gate mask pattern 108 and the gate spacer 125 as an etch mask. After etching the active fin AF, an epitaxial process may be performed to form the source/drain electrodes SD, with the exposed top of the active pattern AP serving as a seed layer. As a result of forming the source/drain SD, a channel region CHR may be defined between the source/drain SD.
The top surface of the source/drain SD may be located at a level higher than the channel region CHR. Further, the top surface of the source/drain SD may have a non-zero curvature. For example, the top surface of each source/drain SD may have an upwardly convex profile.
The source/drain electrodes SD may include a semiconductor element different from that of the substrate 100. For example, the source/drain SD may be formed of or include a semiconductor material having a different (e.g., greater or less) lattice constant than the substrate 100. This may enable applying a compressive stress or a tensile stress to the channel region CHR. In the case where the substrate 100 is a silicon wafer, the source/drain electrodes SD may be formed of or include a silicon-germanium (e.g., e-SiGe) layer or a germanium layer. In this case, the source/drain SD may exert a compressive stress on the channel region CHR (of the PMOS field effect transistor, preferably). In the case where the substrate 100 is a silicon wafer, the source/drain electrodes SD may be formed of or include a silicon carbide (SiC) layer. In this case, the source/drain SD may exert a tensile stress on the channel region CHR (of the NMOS field effect transistor, preferably). When the field effect transistor according to some example embodiments of the inventive concepts is operated, compressive stress or tensile stress to be exerted on the channel region CHR by the source/drain SD may enable carriers in the channel region CHR to have increased mobility.
The source/drain electrodes SD may be doped to have a second conductive type different from the first conductive type of the active pattern AP. As an example, dopants may be implanted in an in-situ manner to achieve the second conductivity type of the source/drain SD. As another example, an ion implantation process may be performed after the source/drain SD is formed to realize the second conductive type of the source/drain SD.
Next, the first interlayer insulating layer 150 may be formed to cover the source/drain electrodes SD. For example, the forming of the first interlayer insulating layer 150 may include: an insulating layer is formed on the substrate 100 to cover the sacrificial gate pattern 106 and the gate mask pattern 108. The first interlayer insulating layer 150 may include a silicon oxide layer, which may be formed by a Flow Chemical Vapor Deposition (FCVD) process.
Thereafter, the first interlayer insulating layer 150 may be planarized to expose the top surface of the sacrificial gate pattern 106. The planarization of the first interlayer insulating layer 150 may be performed using an etch-back process or a Chemical Mechanical Polishing (CMP) process. Planarization of the first interlayer insulating layer 150 may be performed to remove the gate mask pattern 108, thereby exposing the top surface of the sacrificial gate pattern 106. In addition, planarization of the first interlayer insulating layer 150 may be performed to remove an upper portion of the gate spacer 125. Accordingly, the first interlayer insulating layer 150 may have a top surface substantially coplanar with the sacrificial gate pattern 106 and the gate spacers 125.
The sacrificial gate pattern 106 may be removed to form the gate trench GT. The gate trench GT may be formed by an etching process that selectively removes the sacrificial gate pattern 106. The gate trench GT may expose the channel region CHR of the active fin AF.
Referring to fig. 2, 9A and 9B, a gate dielectric layer 131L, a barrier layer 133L and a dummy filler layer 113 may be sequentially formed to fill the gate trench GT. The gate dielectric layer 131L and the barrier layer 133L may be conformally formed to partially (but not completely) fill the gate trench GT.
The gate dielectric layer 131L may be formed by an Atomic Layer Deposition (ALD) process or a chemical oxidation process. The gate dielectric layer 131L may be formed of or include a high-k dielectric material. For example, the gate dielectric layer 131L may be formed of or include at least one of hafnium oxide, hafnium silicon oxide, lanthanum oxide, zirconium silicon oxide, tantalum oxide, titanium oxide, barium strontium titanium oxide, barium titanium oxide, strontium titanium oxide, lithium oxide, aluminum oxide, lead scandium tantalum oxide, or lead zinc niobate.
The barrier layer 133L may be formed by an Atomic Layer Deposition (ALD) process or a Chemical Vapor Deposition (CVD) process. As an example, barrier layer 133L may include binary metal nitrides (e.g., titanium nitride (TiN), tantalum nitride (TaN), tungsten nitride (WN), and hafnium nitride (HfN)) and/or ternary metal nitrides (e.g., titanium aluminum nitride (TiAlN), tantalum aluminum nitride (TaAlN), and hafnium aluminum nitride (HfAlN)). The barrier layer 133L may include a plurality of different metal layers (see, for example, fig. 4A, 4B, 4C, and 4D), but example embodiments of the inventive concept are not limited thereto.
The dummy filler layer 113 may be formed to completely fill the remaining portion of the gate trench GT. In some example embodiments, the dummy filler layer 113 may include a carbon-containing organic compound. Here, the dummy filler layer 113 may be formed on the entire top surface of the substrate 100 through a transfer coating process. In some example embodiments, the dummy filler layer 113 may include a silicon oxide layer or a polysilicon layer. In the case where the dummy filler layer 113 includes a silicon oxide layer or a polysilicon layer, the dummy filler layer 113 may be formed by a Chemical Vapor Deposition (CVD) process.
Referring to fig. 2, 10A, and 10B, a planarization process may be performed on the gate dielectric layer 131L, the barrier layer 133L, and the dummy filler layer 113 to expose the first interlayer insulating layer 150. The planarization process may be performed using an etch-back or Chemical Mechanical Polishing (CMP) process. Accordingly, the gate dielectric layer 131L, the barrier layer 133L, and the dummy filler layer 113 may be located within the gate trench GT.
Referring to fig. 2, 11A, and 11B, upper portions of the gate dielectric layer 131L and the barrier layer 133L may be removed from a region between the gate spacer 125 and the dummy filler layer 113. For example, upper portions of the gate dielectric layer 131L and the barrier layer 133L may be etched using the gate spacers 125 and the dummy filler layer 113 as an etching mask to form the gate dielectric pattern 131 and the barrier pattern 133.
The gate dielectric pattern 131 may include a first extended portion 125E interposed between the gate spacer 125 and the dummy filler layer 113, and the barrier pattern 133 may include a second extended portion 133E interposed between the gate spacer 125 and the dummy filler layer 113 (see, for example, fig. 4A). A top surface of first extension 125E and a top surface of second extension 133E may be substantially coplanar with each other and located between the top and bottom surfaces of dummy filler layer 113.
Referring to fig. 2, 12A and 12B, the dummy filler layer 113 may be removed, and a work function metal pattern WF may be formed on the gate dielectric pattern 131 and the barrier pattern 133 to fill the gate trench GT. For example, the formation of the work function metal pattern WF may include: a work function metal layer is formed on the gate dielectric pattern 131 and the barrier pattern 133 to fill the gate trench GT, and then planarized to expose a top surface of the first interlayer insulating layer 150. Thereafter, the planarized upper portion of the work function metal layer may be selectively removed by an etching process. Accordingly, the work function metal pattern WF may be locally formed in each gate trench GT. The top surface of the work function metal pattern WF may be lower than the top surface of the first interlayer insulating layer 150. The work function metal pattern WF may be a line structure extending parallel to the first direction D1. The workfunction metal layer may be formed by a deposition process (e.g., a sputtering or CVD process).
The work function metal layer may be formed of or include at least one of a metal (e.g., titanium (Ti), tantalum (Ta), hafnium (Hf), tungsten (W), molybdenum (Mo), or aluminum (Al)), a nitride, carbide, silicon nitride, or silicide containing at least one metal. In some example embodiments, the work function metal pattern WF may be formed of or include platinum (Pt), rubidium (Ru), iridium oxide (IrO), or rubidium oxide (RuO). The work function metal layer may include a plurality of metal layers having work functions different from each other (e.g., see fig. 4B), but example embodiments of the inventive concept are not limited thereto.
Referring to fig. 2, 13A and 13B, an electrode pattern EP and a cap pattern 145 may be formed on the work function metal pattern WF to fill the gate trench GT, respectively. The work function metal pattern WF and the electrode pattern EP disposed in each gate trench GT may constitute the gate electrode 135. Specifically, an electrode metal layer may be formed on the work function metal pattern WF to partially fill the gate trench GT, and a capping layer may be formed on the electrode metal layer to fill the remaining region of the gate trench GT. Thereafter, the electrode metal layer and the cap layer may be planarized to expose the top surface of the first interlayer insulating layer 150, thereby forming an electrode pattern EP and a cap pattern 145.
The electrode metal layer may be formed through a deposition process, such as an Atomic Layer Deposition (ALD) process, a Chemical Vapor Deposition (CVD) process, or a sputtering process. The electrode metal layer may be formed of or include at least one low-resistance metal material, such as aluminum (Al), tungsten (W), titanium (Ti), or tantalum (Ta).
The capping layer may be formed by an Atomic Layer Deposition (ALD) process, a Plasma Enhanced Chemical Vapor Deposition (PECVD) process, or a high density plasma chemical vapor deposition (HDCVD) process. The capping layer may be formed of a material having an etch selectivity with respect to the first and second interlayer insulating layers 150 and 155. For example, the cap layer may be formed of or include at least one of SiON, SiCN, SiCON, or SiN.
Referring to fig. 2, 14A and 14B, the electrode pattern EP may be etched using the cap pattern 145 as an etching mask, and thus, a sidewall 191 recessed region RE of the cap pattern 145 may be formed to be exposed. Specifically, the etching of the electrode pattern EP may be performed by a wet or dry etching process using an etching selectivity of the electrode pattern EP with respect to the cap pattern 145, the gate spacer 125, and the first interlayer insulating layer 150. Accordingly, sidewall portions of the electrode pattern EP interposed between the cap pattern 145 and the gate spacer 125 may be removed to expose sidewalls 192 of the electrode pattern EP and form a recessed region RE between the cap pattern 145 and the gate spacer 125. Each recessed region RE may be a blank space defined or limited by the work function metal pattern WF, the electrode pattern EP, the cap pattern 145, and the gate spacer 125.
A low-k dielectric layer 143 may be formed to fill the recessed region RE. In this embodiment, the low-k dielectric layer 143 may be a gaseous material or air. The gate spacer 125, the gate dielectric pattern 131, the barrier pattern 133, the gate electrode 135, the cap pattern 145, and the low-k dielectric layer 143 may constitute a gate structure GS.
Referring to fig. 2, 3A and 3B, a second interlayer insulating layer 155 may be formed on the first interlayer insulating layer 150 and the gate structure GS. The second interlayer insulating layer 155 may be formed of or include a silicon oxide layer or a low-k oxide layer. The low-k oxide layer may include, for example, a carbon-doped silicon oxide layer (e.g., SiCOH). The second interlayer insulating layer 155 may be formed by a CVD process.
Thereafter, contact holes may be formed to pass through the second interlayer insulating layer 155 and the first interlayer insulating layer 150 and expose the source/drain electrodes SD. As an example, the contact hole may be formed in a self-aligned manner by the cap pattern 145 and the gate spacer 125.
Although not shown, a metal silicide layer may be formed on the source/drain electrodes SD exposed through the contact holes. The metal silicide layer may include, for example, at least one of titanium silicide, tantalum silicide, or tungsten silicide.
Next, contacts CA may be formed in the contact holes, respectively, to be in contact with the metal silicide layer. Each contact CA may include a conductive pillar CP and a contact barrier layer BL surrounding the conductive pillar CP. Specifically, the contact barrier layer BL may be formed to partially fill the contact hole. Thereafter, a conductive layer may be formed to completely fill the contact hole, and a planarization process may be performed to expose the top surface of the second interlayer insulating layer 155. The contact barrier layer BL may comprise a metal nitride layer (e.g., Ti/TiN) and the conductive layer may comprise a metal material (e.g., tungsten).
Fig. 15 is a block diagram illustrating an example of an electronic system including a semiconductor device according to some example embodiments of the inventive concepts.
Referring to fig. 15, an electronic system 1100 according to some example embodiments of the inventive concepts may include a controller 1110, an input-output (I/O) unit 1120, a memory device 1130, an interface unit 1140, and a data bus 1150. At least two of the controller 1110, the I/O unit 1120, the memory device 1130, and the interface unit 1140 may communicate with each other through a data bus 1150. The data bus 1150 may correspond to a path through which electrical signals are transmitted.
The controller 1110 may include at least one of a microprocessor, digital signal processor, microcontroller, or other logic device configured to function similarly thereto. The I/O unit 1120 may include a keypad, a keyboard, or a display unit. The memory device 1130 may store data and/or commands. The memory device 1130 may include a non-volatile memory device (e.g., a FLASH memory device, a phase change memory device, a magnetic memory device, etc.). In addition, memory device 1130 may also include a volatile memory device. For example, the memory device 1130 may include a Static Random Access Memory (SRAM) device having a semiconductor device according to some example embodiments of the inventive concepts. The memory device 1130 may be omitted depending on the purpose of the electronic system 1100 or the type of electronic product using the electronic system 1100. The interface unit 1140 may transmit electrical data to the communication network or may receive electrical data from the communication network. The interface unit 1140 may operate in a wireless or wired manner. For example, the interface unit 1140 may include an antenna for wireless communication or a transceiver for wired and/or wireless communication. A semiconductor device according to some example embodiments of the inventive concepts may be provided as a part of the controller 1110 or the I/O unit 1120. Although not shown in the figures, the electronic system 1100 may also include a fast DRAM device and/or a fast SRAM device, which serve as a cache memory for improving the operation of the controller 1110.
Fig. 16 is a block diagram illustrating an example of an electronic device including a semiconductor device according to some example embodiments of the inventive concepts.
Referring to fig. 16, the electronic device 1200 may include a semiconductor chip 1210. The semiconductor chip 1210 may include a processor 1211, an embedded memory 1213, and a cache memory 1215.
Processor 1211 may include one or more processor cores C1-Cn. One or more processor cores C1-Cn may be configured to process data and signals. The processor cores C1 to Cn may be configured to include semiconductor devices (e.g., a plurality of logic cells described with reference to fig. 1) according to some example embodiments of the inventive concepts.
The electronic device 1200 may be configured to perform its own functions using the processed data and signals. As an example, the processor 1211 may be an application processor.
The embedded memory 1213 may exchange first data DAT1 with the processor 1211. The first data DAT1 may be data processed or to be processed by one or more processor cores C1 to Cn. The embedded memory 1213 may manage the first data DAT 1. For example, the embedded memory 1213 may be used to buffer the first data DAT 1. In other words, the embedded memory 1213 may be used as a buffer memory or a work memory for the processor 1211.
In some example embodiments, the electronic device 1200 may be used to implement a wearable electronic device. In general, wearable electronic devices may be configured to perform operations that calculate small amounts of data rather than large amounts of data. In this sense, where the electronic device 1200 is used in a wearable electronic device, the embedded memory 1213 may be configured to have a relatively small buffer capacity.
The embedded memory 1213 may be a Static Random Access Memory (SRAM) device. SRAM devices may have faster operating speeds than Dynamic Random Access Memory (DRAM) devices. Therefore, in the case where the SRAM is embedded in the semiconductor chip 1210, the electronic device 1200 is likely to have a small size and a fast operation speed. Furthermore, in the case where the SRAM is embedded in the semiconductor chip 1210, active power of the electronic device 1200 may be reduced. As an example, the SRAM may include at least one of the semiconductor devices according to some example embodiments of the inventive concepts.
Cache memory 1215 may be mounted on semiconductor chip 1210 along with one or more processor cores C1-Cn. The cache memory 1215 may be configured to store cached data DATc to be used by or directly accessed by one or more processor cores C1-Cn. The cache 1215 may be configured to have a relatively small capacity and very fast operating speed. In some example embodiments, the cache memory 1215 may include an SRAM device including a semiconductor device according to some example embodiments of the inventive concept. In the case of using the cache memory 1215, the access frequency or access time of the embedded memory 1213 performed by the processor 1211 can be reduced. In other words, the use of the cache 1215 may allow the electronic device 1200 to have a fast operating speed.
To provide a better understanding of example embodiments of the inventive concepts, the cache memory 1215 is illustrated in FIG. 16 as a separate component from the processor 1211. However, the cache memory 1215 may be configured to be included in the processor 1211. Furthermore, example embodiments of the inventive concept are not limited to the example illustrated in fig. 16.
The processor 1211, embedded memory 1213 and cache 1215 may be configured to exchange data or transfer data based on at least one of various interface protocols. For example, the processor 1211, the embedded memory 1213, and the cache memory 1215 may be configured to exchange data or transfer data based on at least one of a Universal Serial Bus (USB), a Small Computer System Interface (SCSI), a Peripheral Component Interconnect (PCI), an Advanced Technology Attachment (ATA), parallel ATA (pata), serial ATA (sata), serial attached SCSI (sas), Integrated Device Electronics (IDE), or universal flash memory (UFS).
Fig. 17 is an equivalent circuit diagram illustrating an SRAM cell according to some example embodiments of the inventive concepts. The SRAM cell may be implemented by at least one of the semiconductor devices according to some example embodiments of the inventive concepts. SRAM cells may be used for embedded memory 1213 and/or cache 1215 of fig. 16.
Referring to fig. 17, the SRAM cell may include a first pull-up transistor TU1, a first pull-down transistor TD1, a second pull-up transistor TU2, a second pull-down transistor TD2, a first access transistor TA1, and a second access transistor TA 2. The first and second pull-up transistors TU1 and TU2 may be PMOS transistors, and the first and second pull-down transistors TD1 and TD2 and the first and second access transistors TA1 and TA2 may be NMOS transistors.
A first source/drain of the first pull-up transistor TU1 and a first source/drain of the first pull-down transistor TD1 may be connected to the first node N1. A second source/drain of the first pull-up transistor TU1 may be connected to a power supply line Vcc, and a second source/drain of the first pull-down transistor TD1 may be connected to a ground line Vss. The gate of the first pull-up transistor TU1 and the gate of the first pull-down transistor TD1 may be electrically connected to each other. Accordingly, the first pull-up transistor TU1 and the first pull-down transistor TD1 may constitute a first inverter. The interconnected gates of the first pull-up transistor TU1 and the first pull-down transistor TD1 may serve as input terminals of the first inverter, and the first node N1 may serve as output terminals of the first inverter.
A first source/drain of the second pull-up transistor TU2 and a first source/drain of the second pull-down transistor TD2 may be connected to the second node N2. A second source/drain of the second pull-up transistor TU2 may be connected to the power supply line Vcc, and a second source/drain of the second pull-down transistor TD2 may be connected to the ground line Vss. The gate of the second pull-up transistor TU2 and the gate of the second pull-down transistor TD2 may be electrically connected to each other. Accordingly, the second pull-up transistor TU2 and the second pull-down transistor TD2 may constitute a second inverter. The interconnected gates of the second pull-up transistor TU2 and the second pull-down transistor TD2 may serve as an input terminal of the second inverter, and the second node N2 may serve as an output terminal of the second inverter.
The first inverter and the second inverter may be coupled to each other to form a latch structure. In other words, the gate of the first pull-up transistor TU1 and the gate of the first pull-down transistor TD1 may be electrically connected to the second node N2, and the gate of the second pull-up transistor TU2 and the gate of the second pull-down transistor TD2 may be electrically connected to the first node N1. A first source/drain of the first access transistor TA1 may be connected to the first node N1, and a second source/drain of the first access transistor TA1 may be connected to the first bit line BL 1. A first source/drain of the second access transistor TA2 may be connected to the second node N2, and a second source/drain of the second access transistor TA2 may be connected to the second bit line BL 2. The gate of first access transistor TA1 and the gate of second access transistor TA2 may be electrically coupled to the word line WL. The SRAM cell according to some example embodiments of the inventive concepts may have the aforementioned structure, but example embodiments of the inventive concepts are not limited thereto.
Fig. 18, 19 and 20 are diagrams illustrating some examples of a multimedia device including a semiconductor device according to some example embodiments of the inventive concepts. The electronic system 1100 of fig. 15 and/or the electronic device 1200 of fig. 16 may be applied to the mobile phone or smartphone 2000 of fig. 18, the tablet or smart tablet 3000 of fig. 19, or the notebook 4000 of fig. 20.
According to some example embodiments of the inventive concepts, gate resistance and parasitic capacitance of a semiconductor device may be reduced, thereby improving electrical characteristics (e.g., AC performance and RC delay) of the semiconductor device. The process of manufacturing a semiconductor device can be simplified without increasing the number of steps.
While example embodiments of the present inventive concept have been particularly shown and described, it will be understood by those of ordinary skill in the art that various changes in form and details may be made therein without departing from the spirit and scope of the appended claims.

Claims (19)

1. A semiconductor device, comprising:
a substrate including an active pattern; and
a gate structure passing through the active pattern, the gate structure comprising:
a gate electrode;
a cap pattern on the gate electrode, the cap pattern having a first dielectric constant;
spacers extending parallel to opposite sidewalls of the gate electrode; and
low-k dielectric layers, each low-k dielectric layer between a separate respective sidewall of the cap pattern and a separate respective spacer of the spacers, the low-k dielectric layers having a second dielectric constant, a bottom surface of each low-k dielectric layer being located at a level higher than a bottom surface of the gate electrode, and the second dielectric constant being greater than or equal to 1 and less than the first dielectric constant,
wherein the gate electrode includes a work function metal pattern and an electrode pattern on an upper portion of the work function metal pattern, a width of the electrode pattern is smaller than a width of the upper portion of the work function metal pattern, and
the low-k dielectric layer covers opposite sidewalls of the electrode pattern.
2. The semiconductor device of claim 1, further comprising:
an interlayer insulating layer covering the gate structure, wherein each low-k dielectric layer is surrounded by the interlayer insulating layer, a separate one of the spacers, the cap pattern, and the gate electrode.
3. The semiconductor device of claim 1, wherein each low-k dielectric layer is in direct contact with a separate one of the cap pattern and the spacers.
4. The semiconductor device of claim 1, wherein the cap pattern, the spacer, and the low-k dielectric layer have coplanar top surfaces.
5. The semiconductor device of claim 1, wherein
The gate structure further comprises a gate dielectric pattern between the substrate and the gate electrode,
the gate dielectric pattern includes a first extension portion extending in a direction perpendicular to a top surface of the substrate, and
the gate electrode covers a top surface of the first extension portion.
6. The semiconductor device of claim 1, wherein
The gate structure further includes a barrier pattern between the substrate and the gate electrode, the barrier pattern including a second extending portion extending in a direction perpendicular to a top surface of the substrate, and
the gate electrode covers a top surface of the second extension portion.
7. The semiconductor device as claimed in claim 6, wherein
The barrier pattern includes a first barrier layer and a second barrier layer sequentially stacked on the substrate, and
the first barrier layer and the second barrier layer comprise different materials.
8. The semiconductor device of claim 1, wherein
A width of an upper portion of the work function metal pattern is greater than a width of a lower portion of the work function metal pattern.
9. The semiconductor device of claim 1, wherein
The low-k dielectric layer covers a portion of a top surface of the work function metal pattern.
10. The semiconductor device of claim 1, wherein a width of the electrode pattern is equal to a width of the cap pattern.
11. The semiconductor device of claim 1, wherein
The work function metal pattern includes a first work function metal pattern and a second work function metal pattern, and
a top surface of the first work function metal pattern is coplanar with a top surface of the second work function metal pattern.
12. The semiconductor device as claimed in claim 11, wherein
The first work function metal pattern has a first resistance, the second work function metal pattern has a second resistance, and the electrode pattern has a third resistance; and is
The second resistance is less than the first resistance and greater than the third resistance.
13. The semiconductor device of claim 1, wherein the low-k dielectric layer comprises at least one of a gaseous material and a silicon oxide material.
14. A semiconductor device, comprising:
a substrate including an active pattern; and
a gate structure passing through the active pattern, the gate structure comprising:
a gate electrode;
a cap pattern on the gate electrode; and
a low-k dielectric layer covering opposite sidewalls of the cap pattern, the low-k dielectric layer having a dielectric constant in a range of 1 to 4,
wherein the gate electrode includes a work function metal pattern and an electrode pattern on an upper portion of the work function metal pattern, a width of the electrode pattern is smaller than a width of the upper portion of the work function metal pattern, and
the low-k dielectric layer covers opposite sidewalls of the electrode pattern.
15. The semiconductor device of claim 14, wherein
A width of an upper portion of the work function metal pattern is greater than a width of a lower portion of the work function metal pattern.
16. A semiconductor device, comprising:
a substrate including an active pattern; and
a gate structure passing through the active pattern, the gate structure comprising:
a gate electrode;
a cap pattern on the gate electrode, the cap pattern having a first dielectric constant; and
a low-k dielectric layer at least partially covering sidewalls of the cap pattern, the low-k dielectric layer having a second dielectric constant that is less than the first dielectric constant,
wherein the gate electrode includes a work function metal pattern and an electrode pattern on an upper portion of the work function metal pattern, a width of the electrode pattern is smaller than a width of the upper portion of the work function metal pattern, and
the low-k dielectric layer covers opposite sidewalls of the electrode pattern.
17. The semiconductor device as claimed in claim 16, wherein
The low-k dielectric layer includes a first portion and a second portion, and
the first portion and the second portion comprise different materials.
18. The semiconductor device as claimed in claim 17, wherein
The first portion covers an upper portion of a sidewall of the cover pattern, and
the second portion covers a lower portion of the sidewall of the cover pattern.
19. The semiconductor device of claim 18, further comprising:
an interlayer insulating layer covering the gate structure, wherein the first portion of the low-k dielectric layer is an extension of the interlayer insulating layer.
CN201610424289.9A 2015-06-16 2016-06-15 Semiconductor device and method for manufacturing the same Active CN106257689B (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2015-0085210 2015-06-16
KR1020150085210A KR20160148795A (en) 2015-06-16 2015-06-16 Semiconductor device and method for manufacturing the same

Publications (2)

Publication Number Publication Date
CN106257689A CN106257689A (en) 2016-12-28
CN106257689B true CN106257689B (en) 2021-05-18

Family

ID=57588447

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610424289.9A Active CN106257689B (en) 2015-06-16 2016-06-15 Semiconductor device and method for manufacturing the same

Country Status (3)

Country Link
US (1) US20160372382A1 (en)
KR (1) KR20160148795A (en)
CN (1) CN106257689B (en)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102497251B1 (en) 2015-12-29 2023-02-08 삼성전자주식회사 Semiconductor device and method for manufacturing the same
US10276677B2 (en) * 2016-11-28 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US10332870B2 (en) * 2017-06-01 2019-06-25 Samsung Electronics Co, Ltd. Semiconductor device including a field effect transistor
KR102342550B1 (en) * 2017-06-09 2021-12-23 삼성전자주식회사 Semiconductor devices
US11121131B2 (en) 2017-06-23 2021-09-14 Samsung Electronics Co., Ltd. Semiconductor device and method of manufacturing the same
KR102293127B1 (en) * 2017-06-23 2021-08-26 삼성전자주식회사 Semiconductor device and method for manufacturing the same
KR102544153B1 (en) * 2017-12-18 2023-06-14 삼성전자주식회사 Semiconductor device and method for fabricating the same
KR102550652B1 (en) * 2018-04-02 2023-07-05 삼성전자주식회사 Method for manufacturing semiconductor device
US10529823B2 (en) * 2018-05-29 2020-01-07 International Business Machines Corporation Method of manufacturing a semiconductor device having a metal gate with different lateral widths between spacers
KR102571567B1 (en) * 2018-11-02 2023-08-29 삼성전자주식회사 Semiconductor device
KR20210011558A (en) 2019-07-22 2021-02-02 삼성전자주식회사 Semiconductor device
US11522064B2 (en) 2020-04-28 2022-12-06 Samsung Electronics Co., Ltd. Metal oxide semiconductor field-effect transistor (MOSFET) devices and manufacturing methods thereof
US11812605B2 (en) * 2021-01-12 2023-11-07 Winbond Electronics Corp. Semiconductor structure with air gaps for buried semiconductor gate and method for forming the same

Family Cites Families (133)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3413823B2 (en) * 1996-03-07 2003-06-09 日本電気株式会社 Semiconductor device and manufacturing method thereof
TW346652B (en) * 1996-11-09 1998-12-01 Winbond Electronics Corp Semiconductor production process
US5736446A (en) * 1997-05-21 1998-04-07 Powerchip Semiconductor Corp. Method of fabricating a MOS device having a gate-side air-gap structure
KR100246349B1 (en) * 1997-05-24 2000-03-15 김영환 Structure of a mosfet device and fabrication method thereof
JPH1117166A (en) * 1997-06-23 1999-01-22 Nec Corp Manufacturing for semiconductor device
TW393693B (en) * 1997-07-26 2000-06-11 United Microelectronics Corp MOS device with air-gap spacers and its manufacturing method
JP3544833B2 (en) * 1997-09-18 2004-07-21 株式会社東芝 Semiconductor device and manufacturing method thereof
KR100236101B1 (en) * 1997-09-29 1999-12-15 김영환 Semiconductor device and method of manufacturing the same
US5915182A (en) * 1997-10-17 1999-06-22 Texas Instruments - Acer Incorporated MOSFET with self-aligned silicidation and gate-side air-gap structure
US6495900B1 (en) * 1997-11-12 2002-12-17 Micron Technology, Inc. Insulator for electrical structure
US6180988B1 (en) * 1997-12-04 2001-01-30 Texas Instruments-Acer Incorporated Self-aligned silicided MOSFETS with a graded S/D junction and gate-side air-gap structure
US5869379A (en) * 1997-12-08 1999-02-09 Advanced Micro Devices, Inc. Method of forming air gap spacer for high performance MOSFETS'
US5972761A (en) * 1997-12-29 1999-10-26 Texas Instruments - Acer Incorporated Method of making MOS transistors with a gate-side air-gap structure and an extension ultra-shallow S/D junction
TW387151B (en) * 1998-02-07 2000-04-11 United Microelectronics Corp Field effect transistor structure of integrated circuit and the manufacturing method thereof
TW392357B (en) * 1998-02-10 2000-06-01 United Microelectronics Corp Manufacturing method for semiconductor device and structure manufactured by the same
US6001695A (en) * 1998-03-02 1999-12-14 Texas Instruments - Acer Incorporated Method to form ultra-short channel MOSFET with a gate-side airgap structure
US6104077A (en) * 1998-04-14 2000-08-15 Advanced Micro Devices, Inc. Semiconductor device having gate electrode with a sidewall air gap
US5869374A (en) * 1998-04-22 1999-02-09 Texas Instruments-Acer Incorporated Method to form mosfet with an inverse T-shaped air-gap gate structure
US6127712A (en) * 1998-05-22 2000-10-03 Texas Instruments--Acer Incorporated Mosfet with buried contact and air-gap gate structure
US6548362B1 (en) * 1998-05-22 2003-04-15 Texas Instruments-Acer Incorporated Method of forming MOSFET with buried contact and air-gap gate structure
US6143611A (en) * 1998-07-30 2000-11-07 Micron Technology, Inc. Semiconductor processing methods, methods of forming electronic components, and transistors
US6693335B2 (en) * 1998-09-01 2004-02-17 Micron Technology, Inc. Semiconductor raised source-drain structure
US6107667A (en) * 1998-09-10 2000-08-22 Advanced Micro Devices, Inc. MOS transistor with low-k spacer to suppress capacitive coupling between gate and source/drain extensions
US6190981B1 (en) * 1999-02-03 2001-02-20 United Microelectronics Corp. Method for fabricating metal oxide semiconductor
US6448140B1 (en) * 1999-02-08 2002-09-10 Taiwan Semiconductor Manufacturing Company Laterally recessed tungsten silicide gate structure used with a self-aligned contact structure including a straight walled sidewall spacer while filling recess
KR100295061B1 (en) * 1999-03-29 2001-07-12 윤종용 Semiconductor device having chamfered silicide layer and method for manufacturing the same
US6238987B1 (en) * 1999-09-13 2001-05-29 United Microelectronics Corp. Method to reduce parasitic capacitance
TW444342B (en) * 2000-02-17 2001-07-01 United Microelectronics Corp Manufacturing method of metal interconnect having inner gap spacer
US6329279B1 (en) * 2000-03-20 2001-12-11 United Microelectronics Corp. Method of fabricating metal interconnect structure having outer air spacer
JP4056195B2 (en) * 2000-03-30 2008-03-05 株式会社ルネサステクノロジ Manufacturing method of semiconductor integrated circuit device
JP4447128B2 (en) * 2000-07-12 2010-04-07 富士通マイクロエレクトロニクス株式会社 Insulated gate type semiconductor device manufacturing method
JP2002329861A (en) * 2001-05-01 2002-11-15 Mitsubishi Electric Corp Semiconductor device and its manufacturing method
US6596599B1 (en) * 2001-07-16 2003-07-22 Taiwan Semiconductor Manufacturing Company Gate stack for high performance sub-micron CMOS devices
US6468877B1 (en) * 2001-07-19 2002-10-22 Chartered Semiconductor Manufacturing Ltd. Method to form an air-gap under the edges of a gate electrode by using disposable spacer/liner
DE10214126A1 (en) * 2002-03-28 2003-10-23 Infineon Technologies Ag Manufacturing method for a plurality of approximately equally high and equally spaced gate stacks on a semiconductor substrate
US6780753B2 (en) * 2002-05-31 2004-08-24 Applied Materials Inc. Airgap for semiconductor devices
TW569319B (en) * 2002-06-06 2004-01-01 Winbond Electronics Corp Gate structure and method of manufacture
US6905976B2 (en) * 2003-05-06 2005-06-14 International Business Machines Corporation Structure and method of forming a notched gate field effect transistor
TWI259552B (en) * 2003-06-30 2006-08-01 Macronix Int Co Ltd Self-aligned process for flash memory
KR100487656B1 (en) * 2003-08-12 2005-05-03 삼성전자주식회사 Semiconductor device including an air gap between a semiconductor substrate and an L-shape spacer and method for forming the same
US20050054169A1 (en) * 2003-09-09 2005-03-10 International Business Machines Corporation Method of manufacture of raised source drain mosfet with top notched gate structure filled with dielectric plug in and device manufactured thereby
KR100672762B1 (en) * 2003-10-29 2007-01-22 주식회사 하이닉스반도체 Method for manufacturing semiconductor device using reverse gate process
JP4580657B2 (en) * 2004-01-30 2010-11-17 株式会社東芝 Semiconductor device and manufacturing method thereof
US7365378B2 (en) * 2005-03-31 2008-04-29 International Business Machines Corporation MOSFET structure with ultra-low K spacer
TWI298175B (en) * 2005-11-09 2008-06-21 Promos Technologies Inc Gate structure and fabricating method thereof
US7994046B2 (en) * 2006-01-27 2011-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a dielectric layer with an air gap, and a structure including the dielectric layer with the air gap
US20070218677A1 (en) * 2006-03-15 2007-09-20 Manfred Engelhardt Method of Forming Self-Aligned Air-Gaps Using Self-Aligned Capping Layer over Interconnect Lines
US8193641B2 (en) * 2006-05-09 2012-06-05 Intel Corporation Recessed workfunction metal in CMOS transistor gates
US7994040B2 (en) * 2007-04-13 2011-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and fabrication thereof
KR100868649B1 (en) * 2007-05-17 2008-11-12 주식회사 동부하이텍 Semiconductor device and method of fabricating the same
US7585716B2 (en) * 2007-06-27 2009-09-08 International Business Machines Corporation High-k/metal gate MOSFET with reduced parasitic capacitance
US7868455B2 (en) * 2007-11-01 2011-01-11 Taiwan Semiconductor Manufacturing Company, Ltd. Solving via-misalignment issues in interconnect structures having air-gaps
US7838373B2 (en) * 2008-07-30 2010-11-23 Intel Corporation Replacement spacers for MOSFET fringe capacitance reduction and processes of making same
KR101493047B1 (en) * 2008-11-28 2015-02-13 삼성전자주식회사 Semiconductor device and fabrication method thereof
US8247877B2 (en) * 2009-08-31 2012-08-21 International Business Machines Corporation Structure with reduced fringe capacitance
US8048790B2 (en) * 2009-09-17 2011-11-01 Globalfoundries Inc. Method for self-aligning a stop layer to a replacement gate for self-aligned contact integration
US8609484B2 (en) * 2009-11-12 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming high-K metal gate device
US8373239B2 (en) * 2010-06-08 2013-02-12 International Business Machines Corporation Structure and method for replacement gate MOSFET with self-aligned contact using sacrificial mandrel dielectric
US8232618B2 (en) * 2010-08-11 2012-07-31 International Business Machines Corporation Semiconductor structure having a contact-level air gap within the interlayer dielectrics above a semiconductor device and a method of forming the semiconductor structure using a self-assembly approach
US8329546B2 (en) * 2010-08-31 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. Modified profile gate structure for semiconductor device and methods of forming thereof
US8390079B2 (en) * 2010-10-28 2013-03-05 International Business Machines Corporation Sealed air gap for semiconductor chip
JP2012109450A (en) * 2010-11-18 2012-06-07 Toshiba Corp Non-volatile semiconductor memory device and method for manufacturing the same
KR101746709B1 (en) * 2010-11-24 2017-06-14 삼성전자주식회사 Methods of fabricating a semiconductor device including metal gate electrodes
KR101164972B1 (en) * 2010-12-31 2012-07-12 에스케이하이닉스 주식회사 Semiconductor device with air gap spacer and method for manufacturing the same
US20120199886A1 (en) * 2011-02-03 2012-08-09 International Business Machines Corporation Sealed air gap for semiconductor chip
US9384962B2 (en) * 2011-04-07 2016-07-05 United Microelectronics Corp. Oxygen treatment of replacement work-function metals in CMOS transistor gates
US8642424B2 (en) * 2011-07-12 2014-02-04 International Business Machines Corporation Replacement metal gate structure and methods of manufacture
US8471343B2 (en) * 2011-08-24 2013-06-25 International Bussiness Machines Corporation Parasitic capacitance reduction in MOSFET by airgap ild
US9368603B2 (en) * 2011-09-15 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Contact for high-k metal gate device
US8445971B2 (en) * 2011-09-20 2013-05-21 International Business Machines Corporation Field effect transistor device with raised active regions
US8617973B2 (en) * 2011-09-28 2013-12-31 GlobalFoundries, Inc. Semiconductor device fabrication methods with enhanced control in recessing processes
US8637930B2 (en) * 2011-10-13 2014-01-28 International Business Machines Company FinFET parasitic capacitance reduction using air gap
KR101813513B1 (en) * 2011-11-30 2018-01-02 삼성전자주식회사 Semiconductor devices and methods of manufacturing semiconductor devices
US8669618B2 (en) * 2011-12-15 2014-03-11 United Microelectronics Corp. Manufacturing method for semiconductor device having metal gate
KR101887414B1 (en) * 2012-03-20 2018-08-10 삼성전자 주식회사 Semiconductor device and method for manufacturing the device
JP6100589B2 (en) * 2012-04-13 2017-03-22 ルネサスエレクトロニクス株式会社 Semiconductor device having self-aligned source / drain contact and method of manufacturing the same
US8951855B2 (en) * 2012-04-24 2015-02-10 United Microelectronics Corp. Manufacturing method for semiconductor device having metal gate
KR20130137393A (en) * 2012-06-07 2013-12-17 에스케이하이닉스 주식회사 Semiconductor device with spacer for capping air-gap and method for manufacturing the same
US8679909B2 (en) * 2012-06-08 2014-03-25 Globalfoundries Singapore Pte. Ltd. Recessing and capping of gate structures with varying metal compositions
US8940626B2 (en) * 2012-07-05 2015-01-27 Globalfoundries Inc. Integrated circuit and method for fabricating the same having a replacement gate structure
US9136206B2 (en) * 2012-07-25 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Copper contact plugs with barrier layers
US8524592B1 (en) * 2012-08-13 2013-09-03 Globalfoundries Inc. Methods of forming semiconductor devices with self-aligned contacts and low-k spacers and the resulting devices
KR101921465B1 (en) * 2012-08-22 2018-11-26 삼성전자 주식회사 Semiconductor device and method for fabricating the same
KR20140025799A (en) * 2012-08-22 2014-03-05 삼성전자주식회사 Semiconductor device and method of forming the same
US9034703B2 (en) * 2012-09-13 2015-05-19 International Business Machines Corporation Self aligned contact with improved robustness
CN103779413B (en) * 2012-10-19 2016-09-07 中芯国际集成电路制造(上海)有限公司 Semiconductor devices and manufacture method thereof
US9190486B2 (en) * 2012-11-20 2015-11-17 Globalfoundries Inc. Integrated circuits and methods for fabricating integrated circuits with reduced parasitic capacitance
US8778789B2 (en) * 2012-11-30 2014-07-15 GlobalFoundries, Inc. Methods for fabricating integrated circuits having low resistance metal gate structures
KR20140085657A (en) * 2012-12-26 2014-07-08 에스케이하이닉스 주식회사 Semiconductor device with air gap and method for fabricating the same
KR102001511B1 (en) * 2012-12-26 2019-07-19 에스케이하이닉스 주식회사 Semiconductor device with air gap and method for fabricating the same
KR20140086645A (en) * 2012-12-28 2014-07-08 에스케이하이닉스 주식회사 Semiconductor device with self-aligned air gap and method for fabricating the same
KR20140094917A (en) * 2013-01-23 2014-07-31 삼성전자주식회사 Semiconductor Devices and Methods of Fabricating the Same
US8946793B2 (en) * 2013-02-05 2015-02-03 GlobalFoundries, Inc. Integrated circuits having replacement gate structures and methods for fabricating the same
KR20140121634A (en) * 2013-04-08 2014-10-16 삼성전자주식회사 Semiconductor device and fabricating method thereof
KR102002980B1 (en) * 2013-04-08 2019-07-25 에스케이하이닉스 주식회사 Semiconductor device with air gap and method for fabricating the same
KR102055299B1 (en) * 2013-04-12 2019-12-16 에스케이하이닉스 주식회사 Semiconductor device with air gap and method for fabricating the same
CN104124170A (en) * 2013-04-28 2014-10-29 中芯国际集成电路制造(上海)有限公司 Transistor and forming method thereof
US9029920B2 (en) * 2013-06-04 2015-05-12 Globalfoundries Inc. Semiconductor devices and methods of fabrication with reduced gate and contact resistances
KR102033496B1 (en) * 2013-07-12 2019-10-17 에스케이하이닉스 주식회사 Semiconductor device with air gap and method for fabricating the same
CN104576338B (en) * 2013-10-13 2017-10-03 中国科学院微电子研究所 A kind of MOSFET structure and its manufacture method
US9012319B1 (en) * 2013-11-01 2015-04-21 Globalfoundries Inc. Methods of forming gate structures with multiple work functions and the resulting products
US9293551B2 (en) * 2013-11-25 2016-03-22 Globalfoundries Inc. Integrated multiple gate length semiconductor device including self-aligned contacts
US10158000B2 (en) * 2013-11-26 2018-12-18 Taiwan Semiconductor Manufacturing Company Limited Low-K dielectric sidewall spacer treatment
KR102125749B1 (en) * 2013-12-27 2020-07-09 삼성전자 주식회사 Semiconductor device and method for fabricating the same
US9583362B2 (en) * 2014-01-17 2017-02-28 Taiwan Semiconductor Manufacturing Company Ltd. Metal gate structure and manufacturing method thereof
US9147576B2 (en) * 2014-01-23 2015-09-29 International Business Machines Corporation Gate contact with vertical isolation from source-drain
US9331072B2 (en) * 2014-01-28 2016-05-03 Samsung Electronics Co., Ltd. Integrated circuit devices having air-gap spacers defined by conductive patterns and methods of manufacturing the same
US9093467B1 (en) * 2014-02-04 2015-07-28 Globalfoundries Inc. Methods of forming gate structures for semiconductor devices using a replacement gate technique and the resulting devices
US9536877B2 (en) * 2014-03-03 2017-01-03 Globalfoundries Inc. Methods of forming different spacer structures on integrated circuit products having differing gate pitch dimensions and the resulting products
US9257289B2 (en) * 2014-03-05 2016-02-09 International Business Machines Corporation Lowering parasitic capacitance of replacement metal gate processes
US9871121B2 (en) * 2014-03-10 2018-01-16 Qualcomm Incorporated Semiconductor device having a gap defined therein
US9252233B2 (en) * 2014-03-12 2016-02-02 Taiwan Semiconductor Manufacturing Co., Ltd. Air-gap offset spacer in FinFET structure
KR102158962B1 (en) * 2014-05-08 2020-09-24 삼성전자 주식회사 Semiconductor device and method for fabricating the same
US9679852B2 (en) * 2014-07-01 2017-06-13 Micron Technology, Inc. Semiconductor constructions
US9281372B2 (en) * 2014-07-17 2016-03-08 Taiwan Semiconductor Manufacturing Company Ltd. Metal gate structure and manufacturing method thereof
US9384985B2 (en) * 2014-07-18 2016-07-05 United Microelectronics Corp. Semiconductor structure including silicon and oxygen-containing metal layer and process thereof
KR102262887B1 (en) * 2014-07-21 2021-06-08 삼성전자주식회사 Semiconductor device and method for fabricating the same
CN105280486B (en) * 2014-07-23 2020-09-22 联华电子股份有限公司 Method for manufacturing metal gate structure
KR102202753B1 (en) * 2014-08-11 2021-01-14 삼성전자주식회사 Semiconductor device and method for fabricating the same
US10134861B2 (en) * 2014-10-08 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
TWI653762B (en) * 2014-10-08 2019-03-11 聯華電子股份有限公司 Semiconductor device having metal gate and method for manufacturing the same
US9406568B2 (en) * 2014-11-21 2016-08-02 International Business Machines Corporation Semiconductor structure containing low-resistance source and drain contacts
US9305923B1 (en) * 2014-12-02 2016-04-05 International Business Machines Corporation Low resistance replacement metal gate structure
US9425103B2 (en) * 2014-12-04 2016-08-23 Globalfoundries Inc. Methods of using a metal protection layer to form replacement gate structures for semiconductor devices
US9419091B1 (en) * 2015-02-04 2016-08-16 International Business Machines Corporation Trenched gate with sidewall airgap spacer
US9698232B2 (en) * 2015-03-18 2017-07-04 Qualcomm Incorporated Conductive cap for metal-gate transistor
US9941376B2 (en) * 2015-04-30 2018-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate scheme for device and methods of forming
US9818841B2 (en) * 2015-05-15 2017-11-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with unleveled gate structure and method for forming the same
US9613958B2 (en) * 2015-06-10 2017-04-04 International Business Machines Corporation Spacer chamfering gate stack scheme
US9559184B2 (en) * 2015-06-15 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Devices including gate spacer with gap or void and methods of forming the same
US9768058B2 (en) * 2015-08-10 2017-09-19 Globalfoundries Inc. Methods of forming air gaps in metallization layers on integrated circuit products
US10164059B2 (en) * 2015-09-04 2018-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device and fabricating method thereof
US9660050B1 (en) * 2015-11-25 2017-05-23 International Business Machines Corporation Replacement low-k spacer

Also Published As

Publication number Publication date
US20160372382A1 (en) 2016-12-22
KR20160148795A (en) 2016-12-27
CN106257689A (en) 2016-12-28

Similar Documents

Publication Publication Date Title
CN106257689B (en) Semiconductor device and method for manufacturing the same
US11133392B2 (en) Semiconductor device
US11581435B2 (en) Semiconductor device including a first fin active region, a second fin active region and a field region
KR102317651B1 (en) Semiconductor device and method for manufacturing the same
US10867997B2 (en) Semiconductor device
US10074572B2 (en) Integrated circuit devices and methods of manufacturing the same
US9978746B2 (en) Semiconductor devices and methods of manufacturing the same
US9368597B2 (en) Semiconductor devices and methods of manufacturing the same
US9324716B2 (en) Semiconductor device and fabricating method thereof
US10002788B2 (en) Methods of fabricating semiconductor devices
TW201712863A (en) Semiconductor device having first and second gate electrodes

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant