CN106024661A - 用于检测晶片上的缺陷的方法和装置 - Google Patents

用于检测晶片上的缺陷的方法和装置 Download PDF

Info

Publication number
CN106024661A
CN106024661A CN201610196208.4A CN201610196208A CN106024661A CN 106024661 A CN106024661 A CN 106024661A CN 201610196208 A CN201610196208 A CN 201610196208A CN 106024661 A CN106024661 A CN 106024661A
Authority
CN
China
Prior art keywords
chemical markers
semiconductor wafer
defect
exposed
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201610196208.4A
Other languages
English (en)
Inventor
戴维·皮克尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN106024661A publication Critical patent/CN106024661A/zh
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/148Charge coupled imagers
    • H01L27/14831Area CCD imagers

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Electromagnetism (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)

Abstract

本发明涉及用于检测晶片上的缺陷的方法和装置。本发明提供了利用能够结合到用激光衍射法检测不到的缺陷的化学标记物检测在部分制造的半导体晶片表面上的颗粒缺陷的方法和装置。

Description

用于检测晶片上的缺陷的方法和装置
技术领域
本发明总体上涉及半导体领域,更具体涉及用于检测晶片上的缺陷的方法和装置。
背景技术
在半导体制造工艺中检测晶片缺陷的技术被用来评价制造工艺的质量。这样的技术涉及识别部分制造的半导体衬底的整个表面的缺陷,并识别这种缺陷的成分,以帮助确定该缺陷的来源。
发明内容
本发明提供了用于检测半导体晶片上的缺陷的方法和装置。一个方面涉及一种检测用于半导体器件的部分制造的半导体晶片的缺陷的方法,该方法包括:使所述部分制造的半导体晶片暴露于能够选择性结合到布置在所述部分制造的半导体晶片表面上、利用激光衍射法检测不到并具有第一成分的颗粒缺陷的第一化学标记物,所述化学标记物包括在暴露于刺激物时能够检测到的组分;在使所述晶片暴露于所述化学标记物后,使所述部分制造的半导体晶片暴露于所述刺激物,以形成所述部分制造的半导体晶片的可检测的区域,在该区域,所述第一化学标记物选择性地结合到所述颗粒缺陷;以及检测所述部分制造的半导体晶片的表面上的所述可检测的区域,其中,所述部分制造的半导体晶片的表面包括小于约2000个的缺陷。在多种实施方式中,所述部分制造的半导体晶片的表面包括小于约50个的缺陷。在一些实施方式中,所述部分制造的半导体晶片是300mm的晶片。
在多种实施方式中,所述部分制造的半导体晶片在包含所述第一化学标记物的水浴中被暴露于第一化学标记物。
所述颗粒缺陷的直径可以小于约20nm。在一些实施方式中,所述颗粒缺陷的直径小于10nm。
所述方法还可以包括将所述部分制造的半导体晶片暴露于对具有第二成分的颗粒缺陷有选择性的第二化学标记物,以将所述第二化学标记物结合到具有所述第二成分的所述颗粒缺陷。在一些实施方式中,所述第一化学标记物在暴露于所述刺激物时发出第一光谱分布的照射,并且所述第二化学标记物在暴露于所述刺激物时发出与所述第一光谱分布的照射不同的第二光谱分布的照射。在一些实施方式中,所述第一光谱分布的照射是在可见光谱中的一种颜色,而所述第二光谱分布的照射是在可见光谱中的另一种颜色。
在一些实施方式中,使所述部分制造的半导体晶片暴露于所述第一化学标记物和使所述部分制造的半导体晶片暴露于所述第二化学标记物包括:将所述部分制造的半导体晶片浸渍在包含所述第一化学标记物和所述第二化学标记物的水浴中。
在一些实施方式中,使所述部分制造的半导体晶片暴露于所述第一化学标记物和使所述部分制造的半导体晶片暴露于所述第二化学标记物包括:输送包含所述第一化学标记物和所述第二化学标记物的溶液的气溶胶喷雾到容纳所述部分制造的半导体晶片的室。
在多种实施方式中,所述方法还可以包括修改用于制造所述部分制造的半导体晶片的工艺配方,以减少在所述部分制造的半导体晶片的所述可检测的区域内的颗粒缺陷。
在一些实施方式中,所述第一化学标记物的化合物是荧光染料。在一些实施方式中,所述刺激物可以是具有小于450nm的波长的光。
在一些实施方式中,所述第一化学标记物是气体。在多种实施方式中,所述化学标记物是对无机材料具有结合特异性的遗传工程肽。
另一方面涉及一种用于检测在部分制造的半导体晶片上的缺陷的装置,该装置包括:检测室,其包括用于将所述部分制造的半导体晶片保持在所述检测室中的晶片保持器;入口,其用于将化学标记物输送到所述检测室;照射源,其用于刺激所述化学标记物以发出光;检测器,其用于检测在所述部分制造的半导体晶片的表面上的所述化学标记物的发射;和用于控制所述装置的操作的控制器,所述控制器包括用于下述操作的机器可读指令:将所述化学标记物通过所述入口引入所述检测室;在将所述化学标记物引入所述检测室后从所述检测室去除过量的所述化学标记物;以及接通所述照射源以照射所述化学标记物。在一些实施方式中,所述刺激物是照射源。
在多种实施方式中,所述装置还可以包括:跟踪设备,其定位成用于在所述晶片被保持在晶片保持器上时检测所述晶片表面;和晶片成像系统,其包括用于使用被照射的化学标记物的属性检测在所述晶片表面上的被照射的化学标记物的图像分析逻辑。在多种实施方式中,所述晶片成像系统还包括用于响应于从所述跟踪设备收集的数据修改工艺配方的反馈机构。在一些实施方式中,所述属性包括一定光谱分布的照射。在一些实施方式中,所述光谱分布的照射是颜色。在一些实施方式中,所述属性包括亮度。
在多种实施方式中,所述装置还包括用于将晶片插入所述检测室以及从所述检测室移走晶片的晶片传送工具。
所述装置可以与半导体器件制造装置集成,所述半导体器件制造装置包括用于处理半导体晶片的一个或多个处理室和所述晶片传送工具。
在多种实施方式中,所述入口能够将包括所述化学标记物的水溶液提供到所述检测室。
在一些实施方式中,所述入口能够将所述化学标记物的气溶胶喷雾输送到所述检测室以使所述晶片与所述化学标记物接触,并且,所述入口被定位在所述晶片的顶表面上。
在一些实施方式中,所述检测室能够包含含有一种或多种化学标记物的水浴,并且所述晶片保持器能够将所述晶片浸渍在所述水浴中。
在一些实施方式中,所述装置还包括化学源,所述化学源包括能够修改化学标记物以产生可检测的化学标记物的化合物。
具体而言,本发明的一些方面可以描述如下:
1.一种检测用于半导体器件的部分制造的半导体晶片的缺陷的方法,该方法包括:
使所述部分制造的半导体晶片暴露于能够选择性结合到布置在所述部分制造的半导体晶片表面上、利用激光衍射法检测不到并具有第一成分的颗粒缺陷的第一化学标记物,所述化学标记物包括在暴露于刺激物时能够检测到的组分;
在使所述晶片暴露于所述化学标记物后,使所述部分制造的半导体晶片暴露于所述刺激物,以形成所述部分制造的半导体晶片的可检测的区域,在该区域,所述第一化学标记物选择性地结合到所述颗粒缺陷;以及
检测所述部分制造的半导体晶片的表面上的所述可检测的区域,
其中,所述部分制造的半导体晶片的所述表面包括小于约2000个的缺陷。
2.根据条款1所述的方法,其中,所述部分制造的半导体晶片的所述表面包括小于约50个的缺陷。
3.根据条款1所述的方法,其中,所述部分制造的半导体晶片在包含所述第一化学标记物的水浴中被暴露于所述第一化学标记物。
4.根据条款1所述的方法,其中,所述颗粒缺陷的直径小于约20nm。
5.根据条款1所述的方法,还包括将所述部分制造的半导体晶片暴露于对具有第二成分的颗粒缺陷有选择性的第二化学标记物,以将所述第二化学标记物结合到具有所述第二成分的所述颗粒缺陷。
6.根据条款5所述的方法,其中,所述第一化学标记物在暴露于所述刺激物时发出第一光谱分布的照射,并且其中所述第二化学标记物在暴露于所述刺激物时发出与所述第一光谱分布的照射不同的第二光谱分布的照射。
7.根据条款5所述的方法,其中,使所述部分制造的半导体晶片暴露于所述第一化学标记物和使所述部分制造的半导体晶片暴露于所述第二化学标记物包括:将所述部分制造的半导体晶片浸渍在包含所述第一化学标记物和所述第二化学标记物的水浴中。
8.根据条款5所述的方法,其中,使所述部分制造的半导体晶片暴露于所述第一化学标记物和使所述部分制造的半导体晶片暴露于所述第二化学标记物包括:输送包含所述第一化学标记物和所述第二化学标记物的溶液的气溶胶喷雾到容纳所述部分制造的半导体晶片的室。
9.根据条款1-8中任一项所述的方法,还包括修改用于制造所述部分制造的半导体晶片的工艺配方,以减少在所述部分制造的半导体晶片的所述可检测的区域内的颗粒缺陷。
10.根据条款1-8中任一项所述的方法,其中,所述第一化学标记物的化合物是荧光染料。
11.根据条款1-8中任一项所述的方法,其中,所述刺激物是具有小于450nm的波长的光。
12.根据条款1-8中任一项所述的方法,其中,所述第一化学标记物是气体。
13.根据条款1-8中任一项所述的方法,其中,所述化学标记物是对无机材料具有结合特异性的遗传工程肽。
14.根据条款1-8中任一项所述的方法,其中,所述部分制造的半导体晶片是300mm的晶片。
15.根据条款4所述的方法,其中,所述颗粒缺陷的直径小于10nm。
16.根据条款6所述的方法,其中,所述第一光谱分布的照射是在可见光谱中的一种颜色,而所述第二光谱分布的照射是在可见光谱中的另一种颜色。
17.一种用于检测在部分制造的半导体晶片上的缺陷的装置,该装置包括:
(a)检测室,其包括用于将所述部分制造的半导体晶片保持在所述检测室中的晶片保持器;
(b)入口,其用于将化学标记物输送到所述检测室;
(c)照射源,其用于刺激所述化学标记物以发出光;
(d)检测器,其用于检测在所述部分制造的半导体晶片的表面上的所述化学标记物的发射;和
(e)用于控制所述装置的操作的控制器,所述控制器包括用于下述操作的机器可读指令:
将所述化学标记物通过所述入口引入所述检测室;
在将所述化学标记物引入所述检测室后从所述检测室去除过量的所述化学标记物;以及
接通所述照射源以照射所述化学标记物。
18.根据条款17所述的装置,其中,所述入口能够将包括所述化学标记物的水溶液提供到所述检测室。
19.根据条款17所述的装置,其中,所述入口能够将所述化学标记物的气溶胶喷雾输送到所述检测室以使所述晶片与所述化学标记物接触,其中,所述入口被定位在所述晶片的顶表面上。
20.根据条款17所述的装置,其中,所述检测室能够包含含有一种或多种化学标记物的水浴,并且所述晶片保持器能够将所述晶片浸渍在所述水浴中。
21.根据条款17所述的装置,其还包括:跟踪设备,其定位成用于在所述晶片被保持在所述晶片保持器上时检测所述晶片表面;和晶片成像系统,其包括用于使用被照射的化学标记物的属性检测在所述晶片表面上的所述被照射的化学标记物的图像分析逻辑。
22.根据条款21所述的装置,其中,所述属性包括光谱分布的照射。
23.根据条款21所述的装置,其中,所述晶片成像系统还包括用于响应于从所述跟踪设备收集的数据修改工艺配方的反馈机构。
24.根据条款17-23中任一项所述的装置,其中,所述属性包括亮度。
25.根据条款17-23中任一项所述的装置,其还包括用于将晶片插入所述检测室以及从所述检测室移走晶片的晶片传送工具。
26.根据条款25所述的装置,其中,所述装置与半导体器件制造装置集成,所述半导体器件制造装置包括用于处理半导体晶片的一个或多个处理室和所述晶片传送工具。
这些以及其它方面在下文参照附图描述。
附图说明
图1是描绘了根据某些公开的实施方式执行的方法的操作的工艺流程图。
图2是根据某些所公开的实施方式的合适的示例性室的示意图。
图3是根据某些所公开的实施方式的合适的示例性室的示意图。
图4是用于执行所公开的实施方式的示例性工艺装置的示意图。
具体实施方式
在下面的描述中,阐述了许多具体细节以便提供对所提出的实施方式的透彻理解。公开的实施例可以在没有这些具体细节中的一些或全部的情况下实施。在其它实例中,公知的工艺操作未被详细描述,以避免不必要地模糊所公开的实施方式。尽管所公开的实施方式将与具体实施方式结合描述,但应当理解,其并不意在限制所公开的实施方式。
诸如颗粒和凹坑之类的表面缺陷影响诸如半导体制造、存储器盘的制造、和平板显示器制造等一些商业工艺的产率。一些商业工业工艺包括在涂覆工艺(如涂覆大片的不锈钢)中的表面缺陷的检测。在这种情况下,表面缺陷可以是由粒度测量技术(如激光衍射法)能检测到的针孔或结构上的缺陷。然而,与这样的应用不同,在半导体处理中,缺陷可容许的密度(例如,在半导体晶片的整个区域中可以发现的缺陷量)在晶片的表面上小于约50个缺陷。理想的是,制造在晶片的表面上具有0个缺陷的半导体晶片。其结果是,在半导体制造中,表面缺陷(并且特别是颗粒缺陷)的检测和识别提出了许多挑战。
在半导体制造中,缺陷是通过半导体衬底处理中的改进(例如修改沉积和蚀刻工艺)而减少。本文所用的术语“衬底”或“晶片”可以指部分制造的半导体衬底或部分制造的半导体晶片。从历史上看,在半导体工艺中,存在的缺陷较小,则对衬底的质量的影响极小。然而,随着技术的进步,作为“产量杀手”(例如,显著影响制造的半导体晶片的质量)的缺陷的尺寸已经显著减小了。由于缺陷对器件的影响较明显,因此小器件制造中的小缺陷已经成为较大的问题。其结果是,需要消除存在的较小的缺陷以改善衬底质量并且防止器件故障。
如本文中所述的“缺陷”包括颗粒缺陷。在半导体衬底上的缺陷可以起源于多个来源。例如,缺陷可能从衬底处理室中的许多组件产生。衬底处理室会具有诸如喷头、室壁、密封件、和窗等组件。喷头、室壁和窗的材料或在先前的操作中堆积在室部件上的材料各自会以颗粒形式“脱落”在衬底上,从而产生缺陷。另外,一些制造工艺(如蚀刻工艺)可能导致在衬底上的再沉积或导致残留物留在衬底上,由此产生缺陷。
当前的缺陷检测技术能够确定缺陷的数量和它们的位置,如果缺陷是大到足以利用粒度测量技术(如激光衍射法)被检测到的话。例如,利用可能具有由许多设计因素决定的检测阈值的工具检测衬底缺陷。一个示例性的工具可以是激光计量工具。这样的工具可包括具有最小尺寸阈值的检测阈值,其中,小于最小尺寸阈值的缺陷不会被检测到。对于成分不同的缺陷和/或衬底,最小化尺寸阈值可以不同。例如,一些激光技术可能无法检测到尺寸小于20nm的缺陷。
一种示例性的常规工具是激光计量工具,其使用投射光束到衬底上的探测激光器。光束反射离开衬底,对反射光进行分析,以确定衬底的被光束投射到的区域中是否存在缺陷。也可使用这种技术来检测包含缺陷的图像与“已知好”的基准图像的对比差异。
对于一些相关的制造工艺,产量杀手缺陷比大多数光源具有较小的波长,来自缺陷的信号太小而不能被检测到,或仅在照射光源是如此强烈以致其开始与正被检查的材料发生负的相互作用(表面材料的过热或烧蚀)的情况下才可检测到。这种方法没有发现越来越小的缺陷的路线图。另外,为了检测较小尺寸的缺陷,增大激光功率,其被称为能量密度。随着能量密度的增大,衬底或缺陷被较强的激光束损坏或烧蚀的可能性也增大。
如果识别了缺陷在衬底上的特定位置,则按常规用x射线光谱技术对衬底进行处理,以确定缺陷的化学属性,从而可提供关于该缺陷的起源的信息(例如,缺陷是否是从室部件脱落的材料或缺陷是否是因为制造过程而沉积的材料)。
识别缺陷的成分对于追溯到缺陷的起源可能是有用的,因而使得在减少衬底的缺陷数方面能进行进一步的改进。然而,目前的技术提供的关于缺陷的属性(尺寸、材料组成、形状)的信息非常有限。单独的“检查程序”有时可以利用扫描电子显微镜(SEM)来执行以获取这些信息。检查工具大且价格昂贵,而且检查过程非常耗时。
本发明提供了用于检测半导体晶片上的通过激光衍射法检测不到的颗粒缺陷的方法和装置。特别是,这些方法和装置适合于检测尺寸比激光计量工具的最小尺寸阈值小的这样的颗粒缺陷,如小于约20nm的颗粒缺陷。用于检测尺寸小于给定的最小尺寸阈值的颗粒缺陷的所公开的实施方式涉及用化学标记物来标记缺陷,该化学标记物本身可以根据不受基于光的直接缺陷检测技术所限制的工艺被检测到。合适的化学标记物能够结合到不能通过诸如激光衍射法之类的技术检测到的颗粒缺陷。在一个实施例中,在一些实施方式中,可使用在暴露于某些条件时能够发荧光的化学标记物。
所公开的实施方式涉及使含有缺陷的晶片暴露于具有优先地粘附到缺陷(如颗粒缺陷)的分子组分的化学标记物。在一些实施方式中,化学标记物可以是聚合物或蛋白质。此外,化学标记物可以包括分子组分,该分子组分在遇到刺激物时,接着就能通过观察或光谱法检测到。一个实施例是具有在暴露于适当的照射时明亮地发荧光的分子组分的化学物质。被暴露的晶片被适当地照射以引起化学标记物发荧光,同时在高放大倍数下观察以精确地监测荧光化学物质的位置。
图1提供了描绘可以根据某些公开的实施方式执行的方法的操作的工艺流程图。在操作101中,具有颗粒缺陷的晶片被提供到检测室。在图2和3描绘了示例性的检测室,这将在下面进一步描述。
在多种实施方式中,晶片可以是半导体衬底,如部分制造的半导体衬底。衬底可以是硅晶片,例如,200mm的晶片,300mm的晶片,或450mm的晶片,包括上面沉积有一个或多个材料(例如介电的、导电的或半导电的材料)层的晶片。衬底可具有“特征”,如通孔或接触孔,其可以表征为一个或多个窄和/或内凹的(re-entrant)开口、所述特征内的收缩部、和高深宽比。下层的非限制性的实施例包括介电层和导电层,例如,硅氧化物层、硅氮化物层、硅碳化物层、金属氧化物层、金属氮化物层、金属碳化物层和金属层。
在一些实施方式中,操作101包括提供部分制造的半导体晶片到检测室,其中所述晶片包括颗粒缺陷。例如,在一些实施方式中,部分制造的半导体晶片可包括具有小于约20nm的尺寸的颗粒缺陷。在一些实施方案中,颗粒缺陷的直径小于约20nm。在一些实施方式中,颗粒缺陷的直径小于约10nm。在一些实施方式中,部分制造的半导体晶片可具有在晶片的表面上约2000个缺陷或小于约50个缺陷的缺陷密度。部分制造的半导体晶片上的颗粒缺陷可以是任何成分,包括氧化硅、氮化硅、碳化硅、金属氧化物、金属、金属氮化物、金属碳化物、以及含碳材料。
回到图1,在操作103中,将晶片暴露于化学标记物。在一些实施方式中,操作103涉及将部分制造的半导体晶片暴露于能够选择性结合到布置在部分制造的半导体晶片表面上的颗粒缺陷的化学标记物。
化学标记物可以被构造为附着到特定缺陷(特定材料,特定形状)并且该装置可以被配置以记录该信息。例如,在一些实施方式中,化学标记物可以被构造成附着到特定材料的颗粒缺陷(例如,选择性结合氧化硅的化学标记物)。在一些实施方式中,化学标记物可以被构造成附着到特定形状的颗粒缺陷(例如,选择性结合圆形颗粒缺陷的化学标记物)。根据所公开的实施方式的装置可被配置以记录该信息。例如,该装置可以被配置以记录化学标记物的类型和该标记物选择性结合的材料。
如前所述,在一些实施方式中,化学标记物分子会是能够选择性地结合到特定类型的无机原子或化合物的。例如,化学标记物可以通过在化学反应中反应以形成共价键、形成离子键或它们的组合而结合到无机原子或化合物上。在一些实施方式中,化学标记物可以包括用于无机化合物的遗传工程肽。在一些实施方式中,化学标记物可以包括能够与无机化合物(如金属类)结合的无机或有机辅因子。示例性的辅助因子可为烟酰胺腺嘌呤二核苷酸磷酸盐(NADP+)。能够结合到这样的辅助因子的酶然后可以被用来识别和定位缺陷。在一些实施方式中,缺陷通过荧光、生物发光、化学发光、放射性同位素、以及其它机制检测到。在一些实施方式中,化学标记物可以被选择,使得其选择性地结合一定的材料,并且关联于该标记物的荧光颜色识别该材料。在一些实施方式中,可以选择包括多于一种分子组分的化学标记物,其中每一种发射不同的颜色,使得当化学标记物结合到第一材料时发出第一颜色,而当化学标记物结合到第二材料时发出第二颜色。
化学标记物可以包括在连接到不同类型的材料时表现出一种或多种属性的一种或多种分子组分。例如化学标记物可以包括在暴露于刺激物(如光)时发射一种颜色的分子组分。在一些实施方式中,颜色可以是任何光谱分布的照射,并且可以不局限于可见光谱。在一些实施方式中,化学标记物包括在暴露于刺激物时能够检测到的组分。
在一些实施方式中,也可以使用化学标记物的混合物,使得该混合物包括多组化学标记物,每一组能够连接到不同类型的材料以及能够发出不同颜色,使得当观察被标记的晶片时,不同的颜色可以与每个缺陷的特定组合物相关联。例如,晶片可暴露于第一化学标记物和第二化学标记物的混合物,其中,所述第一化学标记物选择性结合氧化硅,而第二化学标记物选择性结合氮化硅。第一化学标记物可以包括在暴露于刺激物时发出红光的分子组分,而第二化学标记物可以包括在暴露于刺激物时发出绿光的分子组分。在一些实施方式中,化学标记物在暴露于相同刺激物时可以发出其相应的光。在一些实施方式中,化学标记物在暴露于特定的刺激物时可以发出其相应的光,使得只有第一化学标记物在暴露于第一刺激物但不是暴露于第二刺激物时才发出光,而只有第二化学标记物在暴露于第二刺激物但不是暴露于第一刺激物时才发出光。
根据所公开的实施方式的装置可以是能够记录检测到的缺陷的数目和缺陷的位置以及化学标记物的在遇到刺激物时所发出的一种或多种颜色。缺陷的尺寸可以通过计算化学标记物附着于缺陷的数目,根据来化学标记物的荧光或所发出的光的亮度或强度来确定,其中该亮度正比于化学标记物分子的数量。
所公开的实施方式解决了通过利用化学标记物“发现”并附着到缺陷来检测越来越小的缺陷的问题。甚至化学标记物的一个分子中可以提供检测能力,所以最小可检测的缺陷尺寸受限于化学标记物的属性(例如,能够附着到缺陷的一个原子),而不是受限于缺陷的属性,化学标记物的属性可被改造。例如,在一些实施方式中,化学标记物可以制造成使得它能够检测尺寸小于20nm的颗粒缺陷。
在执行所公开的实施方式时,缺陷检测不依赖于粒径,而是依赖于化学标记物的属性,使得更多关于缺陷的属性的信息可以被评估。在所公开的实施方式中,不同的化学标记物可以结合到不同类型的缺陷,并能够结合到非常小的缺陷。方法提供关于缺陷类型的信息,而不用执行SEM检查。在所公开的实施方式中,缺陷根据它们与化学标记物的相互作用而不是根据它们与光子的相互作用来检测。
化学标记物的一个实施例是对无机材料(“GEPI”)具有结合特异性的遗传工程肽。GEPI可以是包括结合到无机化合物的氨基酸的肽。在一些实施方式中,GEPI可被配置成结合到对其它无机化合物具有选择性的一些无机化合物。GEPI可以包括在暴露于光时可发荧光的化合物。
在多种实施方式中,在操作103期间,所述化学标记物使用气溶胶喷雾被输送到容纳晶片的检测室。化学标记物可以使用喷头输送到晶片上使得所述晶片暴露于在晶片上的均匀量的化学标记物。晶片暴露于化学标记物的持续时间可以取决于化学标记物和晶片、以及被检测的颗粒缺陷的成分。晶片可以被暴露于化学标记物气溶胶喷雾持续介于约10至约20秒之间的持续时间。
在多种实施方式中,操作101至107可以循环重复,如在第一循环包括在操作103期间使晶片暴露于第一化学标记物,第二循环包括在重复的操作103过程中使所述晶片暴露于第二化学标记物。在一些实施方式中,在单个循环内的操作103包括首先使所述晶片暴露于第一化学标记物,然后使所述晶片暴露于第二化学标记物等。在多种实施方式中,化学标记物的暴露顺序可以一个循环一个循环地变化或者在每个循环中可以是相同的。在一些实施方式中,化学标记物暴露的顺序可以用来调节第一化学标记物相对于第二化学标记物的结合的选择性,使得较可能仅结合到第一化学标记物而不太可能结合到(尽管可能能够结合到)第二化学标记物的材料首先暴露于第一化学标记物以结合到该第一化学标记物。
在操作105中,漂洗或干燥半导体晶片以从表面上除去过量的化学标记物,使得仅选择性地结合到颗粒缺陷的化学标记物保持在衬底的表面上。在多种实施方式中,操作105可以是任选的。在一些实施方式中,可以通过提供漂洗溶液(如去离子水)至检测室以除去过量的化学标记物来执行操作105。该溶液然后可以从该检测室抽走。在一些实施方式中,可通过从检测室排放化学标记物的水溶液来执行操作105。
在操作107中,将半导体晶片暴露于刺激物来检测半导体晶片的表面上化学标记物的存在。在一些实施方式中,部分制造的半导体晶片可以在使晶片暴露于化学标记物以形成部分制造的半导体晶片的可检测的区域之后暴露于刺激物,在该可检测的区域中,所述化学标记物选择性地结合到颗粒缺陷。操作107可以进一步包括检测部分制造的半导体晶片的表面上的可检测的区域,例如以确定可检测的区域的位置、亮度、颜色、或其它属性。
在多种实施方式中,刺激物是光或照射源。例如,如果化学标记物包括荧光染料,则刺激物(如光或照射源)被用来使荧光染料发出荧光,使得检测系统和/或照相机可以用来检测发荧光的或被刺激的化学标记物。在一些实施方式中,刺激物可以是具有小于450nm的波长的光。在一些实施方式中,刺激物是包括能够修改化学标记物以产生可检测的化学标记物的化合物的化学源。
在多种实施方式中,如果使用一种以上的化学标记物,则每种化学标记物可以选择性地结合到不同组合物的颗粒缺陷。例如,化学标记物A可以选择性地结合到氧化硅缺陷,而化学标记物B可以选择性地结合到氮化硅缺陷。在多种实施方式中,这些化学标记物在暴露于刺激物时可以发出不同的颜色或不同波长的光。例如,在一些实施方式中,化学标记物A在暴露于照射时可以发出红色,而化学标记物B在暴露于相同的照射时可以发出蓝色。因此,基于所检测到的颜色,可识别颗粒缺陷的化学组成,而不需要诸如x射线光谱测量之类的后续处理。
在一些实施方式中,可使用两种或更多种刺激物来识别化学标记物。例如,化学标记物A可以仅在暴露于刺激物I时发出颜色,而化学标记物B可以仅在暴露于刺激物II时发出颜色。在这样的实施方式中,晶片可暴露于刺激物I和刺激物II两者来识别化学标记物A和B。尽管本文描述的实施例是针对两种类型的颗粒缺陷的识别,但应理解的是,这样的技术可以用于识别多种颗粒缺陷,如颗粒缺陷的三种或三种以上的成分。此外,应当注意的是,虽然颗粒缺陷可以由所发出的颜色来确定,但如本文所用的术语“颜色”指的是一定光谱分布的照射或光,并且可以不对应于可见光谱内的特定颜色。
在一些实施方式中,来自被刺激的化学标记物所发出的光的亮度可被用来确定颗粒缺陷的尺寸。例如,在一些实施方式中,多种化学标记物分子可以结合到较大尺寸的颗粒缺陷,使得能够基于来自被刺激的化学标记物所发出的光的亮度识别颗粒的尺寸。
在多种实施方式中,本文所述的方法进一步包括修改用于制造部分制造的半导体晶片的工艺配方,以减少所述部分制造的半导体晶片的所述可检测的区域的颗粒缺陷。例如,在一些实施方式中,如果所公开的实施方式检测到部分制造的半导体晶片上的化学标记物的位置并识别出化学标记物所结合的颗粒缺陷,则引起这些颗粒缺陷的工艺操作可以被修改以减少在半导体晶片上存在的这类颗粒缺陷。例如,可以响应于识别出所检测到的颗粒缺陷的组分、位置和/或尺寸对蚀刻工艺或沉积工艺进行修改。
装置
根据所公开的实施方式的装置可以适合于执行本文所描述的各种方法。在一些实施方式中,公开的方法可以在室内利用精确受控的工作台和化学标记物施加器来执行。
图2描绘了具有用于检测在半导体晶片上的缺陷的检测室202的装置200的实施方式的示意图。在一些实施方式中,除了装置200外,还有多个处理站可以被包括在多站式处理工具内,多站式处理工具还可以包括耦合到晶片处理系统的用于将晶片往来于检测室202传送的晶片传送工具。
装置200包括能够以高速率旋转晶片212并且能够径向平移晶片212的准确定位工作台或晶片保持器208。例如,如上面参照图1所描述的,部分制造的半导体晶片可被传送到检测室202。在一些实施方式中,定位工作台208也可以连接到加热器210。
装置200与化学标记物制备室201连通以输送化学标记物(其可以是液体或气体,或可以是气溶胶喷雾的形式)到入口213,入口213在一些实施方式中可以是分配喷头。化学标记物制备室201包括混合容器204,混合容器204用于混合和/或调节输送至入口213的化学标记物。例如,混合容器204可被配置以将化学标记物与缓冲物或其它化学品混合,以产生输送到检测室202的化学标记物水溶液。化学标记物制备室201还可以涉及输送工艺气体(如气态形式的化学标记物)、用以通过直气体管线输送这样的气体的载气、以及可以包括化学标记物水溶液的工艺液体,该化学标记物水溶液能够作为气溶胶喷雾被输送至入口,再到检测室202。
作为示例,图2的实施方式包括用于汽化拟被供给到混合容器204的液体化学标记物的汽化点203。在一些实施方式中,汽化点203可以是加热蒸发器。在一些实施方式中,液体化学标记物可以在液体注入器(未示出)处被汽化。例如,液体注入器可注入液体化学标记物的脉冲到在混合容器204的上游的载气流中。在一些实施方式中,在汽化点203上游的液体流量控制器(未示出)可以被提供来控制进行汽化并输送到检测室202的液体的质量流。在一些实施方式中,汽化点203可被省略,使得液体化学标记物作为液体输送到混合容器,以产生水溶液,该水溶液然后输送到检测室202。
入口213朝向晶片212分配化学标记物(其可以是,例如,水溶液)。在如图2所示的实施方式中,晶片212位于入口213的下面,并被显示搁置在晶片保持器208上。入口213可具有任何合适的形状,并且在一些实施方式中可以是喷嘴。在一些实施方式中,入口213包括一个以上的入口。在一些实施方式中,入口213包括用于分配工艺气体到衬底212的任何合适数量和布置的端口。在多种实施方式中,检测室202包括在泵218上方的门,使得检测室202能够用化学标记物的水溶液填充,以形成可以浸渍晶片212的水浴。在多种实施方式中,入口213可以是在检测室202的侧面上,使得通过用化学标记物的水溶液填充检测室202来执行该水溶液的输送。
在一些实施方式中,晶片保持器208可以被升高或降低以在各种处理中浸渍或冲洗晶片212。
装置200还包括照射源260,照射源260可被配置为使所述化学标记物发荧光。照射源的示例包括灯和激光器。照射源260可以聚焦在有限的区域。该装置200还包括光学和荧光检测器270,例如光电倍增管或线性电荷耦合探测器(CCD)阵列。
例如,在冲洗或漂洗部分制造的半导体晶片以从该晶片去除“未附着的”化学标记物并将其抽排出检测室后(如上面图1中参照操作105所描述的),晶片可以用灯或其它光源照射以引起化学标记物发出荧光。然后使用放大系统和/或传感器(例如荧光传感器)以检测被刺激的化学标记物(例如,荧光)。包括处理器和存储器的计算机和/或控制器可以跟踪工作台的在照射和荧光传感器下的移动的位置,以记录在晶片上的检测到缺陷的位置。计算机和/或控制器还记录荧光信号的属性,以提供缺陷的尺寸、材料和形状的信息。计算机和/或控制器在下面进一步描述。
可替代的实施方式包括用于充分照射晶片并用超高分辨率的CCD照相机使荧光成像以较快地生产的照射装置,其中,可能损害缺陷位置的分辨率。
图2还描绘了用于控制装置200的工艺条件和硬件状态的系统控制器250的一实施方式。系统控制器250可包括一个或多个存储器设备、一个或多个大容量存储设备、和一个或多个处理器。处理器可包括CPU或计算机、模拟和/或数字输入/输出连接件、步进电机控制器板等。计算机和/或控制器250耦合到装置200的部件,以控制晶片的处理、用于化学标记物的入口和排放操作、用于化学标记物的照射源的参数、工作台运动、工作台位置相关性和记录、在晶片上的化学标记物的检测、以及化学标记物荧光的颜色和强度记录。控制器250可以被配置为包括具有图像分析逻辑的晶片成像系统,该图像分析逻辑用于使用被照射的化学标记物的属性检测在晶片表面上的被照射的化学标记物。在一些实施方式中,这些属性包括一个或一个以上的一定光谱分布的照射,如颜色,以及亮度。在一些实施方式中,晶片成像系统包括反馈机构,该反馈机构响应于从检测器270的跟踪装置收集的数据修正工艺配方,检测器270用于检测被照射的化学标记物的位置和其它属性。计算机和/或控制器250可具有下面参照图3描述的控制器350的任何特性。
图3提供了一种适合用于执行本文描述的操作的替代装置300。图3包括具有门309的用于输送晶片312到检测室302内的晶片处理系统311。检测室302可包括准确定位工作台或晶片保持器323,准确定位工作台或晶片保持器323可包括能够以高速率旋转晶片312并且能够径向平移晶片312的销308。装置300还包括入口313和排放或出口318,入口313用于经由工艺液体315引入化学标记物,而排放或出口318用于去除化学标记物。该装置300可被配置为使得通过经由入口313用来自工艺液体315的水溶液填充所述检测室302来执行化学标记物水溶液的输送。
装置300还包括照射源360,照射源360可被配置以致使化学标记物发荧光并照射整个晶片。照射源的示例包括灯和激光器。该装置300还包括光学和荧光检测器370,如光学和荧光检测器CCD平面阵列或照相机,其以高分辨率使整个晶片成像。计算机和/或控制器350被耦合至装置的部件,以控制晶片的处理、用于化学标记物的入口和排放操作、用于化学标记物的照射源的参数、工作台运动、工作台位置相关性和记录、在晶片上的化学标记物的检测、以及化学标记物荧光的颜色和强度记录。
在一些实现方式中,控制器350是系统的一部分,该系统可以是上述实施例的一部分。这种系统可以包括半导体处理设备,该半导体处理设备包括一个或多个处理工具、一个或多个处理室、用于处理的一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以称为“控制器”,该控制器可以控制一个或多个系统的各种元件或子部件。根据处理要求和/或系统的类型,控制器350可以被编程以控制本文公开的任何工艺,包括控制工艺气体输送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)产生器设置、RF匹配电路设置、频率设置、流率设置、流体输送设置、位置及操作设置、晶片转移进出工具和其它转移工具和/或与具体系统连接或通过接口连接的装载锁。
广义而言,控制器350可以定义为接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置的形式(或程序文件)传送到控制器350的指令,该设置定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定过程的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的用于在制备晶片的一或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方(recipe)的一部分。
在一些实现方式中,控制器350可以是与系统集成、耦合或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦合。例如,控制器350可以在“云端”或者是fab主机系统的全部或一部分,从而可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监控制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实施例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括允许输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机传送到系统。在一些实施例中,控制器350接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,控制器350被配置成连接或控制该类型工具。因此,如上所述,控制器350可以例如通过包括一个或多个分立的控制器而为分布式,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的实施例可以是与结合以控制室上的工艺的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路。
在非限制性的条件下,示例性的系统可以包括等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其它的半导体处理系统。
如上所述,根据工具将要执行的一个或多个工艺步骤,控制器350可以与一个或多个其它的工具电路或模块、其它工具组件、组合工具、其它工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。
结论
虽然为了清晰理解的目的,已经在一定程度上详细描述了上述实施方式,但显而易见,可以在所附权利要求的范围内实行某些变化和修改。应当注意,存在实施本发明的实施方式的工艺、系统和装置的许多替代方式。因此,本发明的实施方式应被视为说明性的,而不是限制性的,并且这些实施方式并不限于本文给出的细节。

Claims (10)

1.一种检测用于半导体器件的部分制造的半导体晶片的缺陷的方法,该方法包括:
使所述部分制造的半导体晶片暴露于能够选择性结合到布置在所述部分制造的半导体晶片表面上、利用激光衍射法检测不到并具有第一成分的颗粒缺陷的第一化学标记物,所述化学标记物包括在暴露于刺激物时能够检测到的组分;
在使所述晶片暴露于所述化学标记物后,使所述部分制造的半导体晶片暴露于所述刺激物,以形成所述部分制造的半导体晶片的可检测的区域,在该区域,所述第一化学标记物选择性地结合到所述颗粒缺陷;以及
检测所述部分制造的半导体晶片的表面上的所述可检测的区域,
其中,所述部分制造的半导体晶片的所述表面包括小于约2000个的缺陷。
2.根据权利要求1所述的方法,其中,所述部分制造的半导体晶片的所述表面包括小于约50个的缺陷。
3.根据权利要求1所述的方法,其中,所述部分制造的半导体晶片在包含所述第一化学标记物的水浴中被暴露于所述第一化学标记物。
4.根据权利要求1所述的方法,其中,所述颗粒缺陷的直径小于约20nm。
5.根据权利要求1所述的方法,还包括将所述部分制造的半导体晶片暴露于对具有第二成分的颗粒缺陷有选择性的第二化学标记物,以将所述第二化学标记物结合到具有所述第二成分的所述颗粒缺陷。
6.根据权利要求5所述的方法,其中,所述第一化学标记物在暴露于所述刺激物时发出第一光谱分布的照射,且其中所述第二化学标记物在暴露于所述刺激物时发出与所述第一光谱分布的照射不同的第二光谱分布的照射。
7.根据权利要求5所述的方法,其中,使所述部分制造的半导体晶片暴露于所述第一化学标记物和使所述部分制造的半导体晶片暴露于所述第二化学标记物包括:将所述部分制造的半导体晶片浸渍在包含所述第一化学标记物和所述第二化学标记物的水浴中。
8.根据权利要求5所述的方法,其中,使所述部分制造的半导体晶片暴露于所述第一化学标记物和使所述部分制造的半导体晶片暴露于所述第二化学标记物包括:输送包含所述第一化学标记物和所述第二化学标记物的溶液的气溶胶喷雾到容纳所述部分制造的半导体晶片的室。
9.根据权利要求1-8中任一项所述的方法,还包括修改用于制造所述部分制造的半导体晶片的工艺配方,以减少在所述部分制造的半导体晶片的所述可检测的区域内的颗粒缺陷。
10.一种用于检测在部分制造的半导体晶片上的缺陷的装置,该装置包括:
(a)检测室,其包括用于将所述部分制造的半导体晶片保持在所述检测室中的晶片保持器;
(b)入口,其用于将化学标记物输送到所述检测室;
(c)照射源,其用于刺激所述化学标记物以发出光;
(d)检测器,其用于检测在所述部分制造的半导体晶片的表面上的所述化学标记物的发射;和
(e)用于控制所述装置的操作的控制器,所述控制器包括用于下述操作的机器可读指令:
将所述化学标记物通过所述入口引入所述检测室;
在将所述化学标记物引入所述检测室后从所述检测室去除过量的所述化学标记物;以及
接通所述照射源以照射所述化学标记物。
CN201610196208.4A 2015-03-31 2016-03-31 用于检测晶片上的缺陷的方法和装置 Pending CN106024661A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562141162P 2015-03-31 2015-03-31
US62/141,162 2015-03-31
US15/083,039 2016-03-28
US15/083,039 US20160293502A1 (en) 2015-03-31 2016-03-28 Method and apparatus for detecting defects on wafers

Publications (1)

Publication Number Publication Date
CN106024661A true CN106024661A (zh) 2016-10-12

Family

ID=57017417

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201610196208.4A Pending CN106024661A (zh) 2015-03-31 2016-03-31 用于检测晶片上的缺陷的方法和装置

Country Status (4)

Country Link
US (1) US20160293502A1 (zh)
KR (1) KR20160117287A (zh)
CN (1) CN106024661A (zh)
TW (1) TW201705328A (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110313058A (zh) * 2017-01-30 2019-10-08 科磊股份有限公司 用于光谱组成分析的晶片颗粒缺陷的活化
CN111341683A (zh) * 2020-03-03 2020-06-26 胜科纳米(苏州)有限公司 一种检测半导体晶圆钝化层上针孔缺陷的方法
WO2020252879A1 (zh) * 2019-06-19 2020-12-24 罗博特科智能科技股份有限公司 基于超声波喷雾的手机屏缺陷检测系统
CN114318241A (zh) * 2022-02-15 2022-04-12 福建华佳彩有限公司 一种可视化的线源坩埚装置及其材料监控方法

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9859138B2 (en) 2014-10-20 2018-01-02 Lam Research Corporation Integrated substrate defect detection using precision coating
CN108507909B (zh) * 2017-02-28 2021-04-09 上海微电子装备(集团)股份有限公司 一种平板颗粒度检测装置
JP7150638B2 (ja) * 2019-02-27 2022-10-11 キオクシア株式会社 半導体欠陥検査装置、及び、半導体欠陥検査方法
CN110223296B (zh) * 2019-07-08 2021-06-11 山东建筑大学 一种基于机器视觉的螺纹钢表面缺陷检测方法及系统
US20220405902A1 (en) * 2021-06-16 2022-12-22 Tokyo Electron Limited Wafer bath imaging

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050037231A1 (en) * 1999-03-16 2005-02-17 Jones Anita Claire Fluorescent materials
US20090309623A1 (en) * 2008-06-11 2009-12-17 Amethyst Research, Inc. Method for Assessment of Material Defects
US20110136250A1 (en) * 2009-11-30 2011-06-09 Bio-Rad Laboratories Inc. Bead reader
US20130035245A1 (en) * 2008-07-04 2013-02-07 Arcelormittal Liege Research Inorganic-binding peptides and quality control methods using them
CN103364381A (zh) * 2007-12-17 2013-10-23 生命技术公司 用于检测无机涂敷的聚合物表面中的缺陷的方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4644172A (en) * 1984-02-22 1987-02-17 Kla Instruments Corporation Electronic control of an automatic wafer inspection system
KR100237829B1 (ko) * 1997-02-06 2000-01-15 윤종용 웨이퍼의 결함 분석방법
JP2002031093A (ja) * 2000-07-19 2002-01-31 Minebea Co Ltd 送風機
JP4047795B2 (ja) * 2003-10-31 2008-02-13 株式会社東芝 量子計算方法および量子計算機
EP2526659A1 (en) * 2010-01-22 2012-11-28 Telefonaktiebolaget L M Ericsson (PUBL) Selective caching in a packet network and packet loss repair using selective caching

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050037231A1 (en) * 1999-03-16 2005-02-17 Jones Anita Claire Fluorescent materials
CN103364381A (zh) * 2007-12-17 2013-10-23 生命技术公司 用于检测无机涂敷的聚合物表面中的缺陷的方法
US20090309623A1 (en) * 2008-06-11 2009-12-17 Amethyst Research, Inc. Method for Assessment of Material Defects
US20130035245A1 (en) * 2008-07-04 2013-02-07 Arcelormittal Liege Research Inorganic-binding peptides and quality control methods using them
US20110136250A1 (en) * 2009-11-30 2011-06-09 Bio-Rad Laboratories Inc. Bead reader

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110313058A (zh) * 2017-01-30 2019-10-08 科磊股份有限公司 用于光谱组成分析的晶片颗粒缺陷的活化
WO2020252879A1 (zh) * 2019-06-19 2020-12-24 罗博特科智能科技股份有限公司 基于超声波喷雾的手机屏缺陷检测系统
CN111341683A (zh) * 2020-03-03 2020-06-26 胜科纳米(苏州)有限公司 一种检测半导体晶圆钝化层上针孔缺陷的方法
CN114318241A (zh) * 2022-02-15 2022-04-12 福建华佳彩有限公司 一种可视化的线源坩埚装置及其材料监控方法

Also Published As

Publication number Publication date
US20160293502A1 (en) 2016-10-06
TW201705328A (zh) 2017-02-01
KR20160117287A (ko) 2016-10-10

Similar Documents

Publication Publication Date Title
CN106024661A (zh) 用于检测晶片上的缺陷的方法和装置
US9076716B2 (en) Methods for discretized processing and process sequence integration of regions of a substrate
US7902063B2 (en) Methods for discretized formation of masking and capping layers on a substrate
TWI385715B (zh) 具有隔離設置之反應裝置的整合工具用之改良混合系統
US20140230955A1 (en) Systems for Discretized Processing of Regions of a Substrate
US20100163404A1 (en) Shadow Masks for Patterned Deposition on Substrates
US9859138B2 (en) Integrated substrate defect detection using precision coating
CN107850569B (zh) 硅基板用分析装置
US20080128696A1 (en) Methods for discretized processing and process sequence integration of regions of a substrate
US8836123B2 (en) Methods for discretized formation of masking and capping layers on a substrate
TW201643401A (zh) 基板處理系統中粒子性能之量化系統及方法
Brackmann et al. Feasibility of Wafer Exchange for European Edge AI Pilot Lines

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
WD01 Invention patent application deemed withdrawn after publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20161012