CN104395990B - The method of patterned low-k dielectric film - Google Patents

The method of patterned low-k dielectric film Download PDF

Info

Publication number
CN104395990B
CN104395990B CN201380034656.9A CN201380034656A CN104395990B CN 104395990 B CN104395990 B CN 104395990B CN 201380034656 A CN201380034656 A CN 201380034656A CN 104395990 B CN104395990 B CN 104395990B
Authority
CN
China
Prior art keywords
dielectric
low
plasma
patterning
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN201380034656.9A
Other languages
Chinese (zh)
Other versions
CN104395990A (en
Inventor
S·D·耐马尼
J·T·彭德
Q·周
D·卢博米尔斯基
S·G·别洛斯托茨基
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/922,543 external-priority patent/US8802572B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN104395990A publication Critical patent/CN104395990A/en
Application granted granted Critical
Publication of CN104395990B publication Critical patent/CN104395990B/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Abstract

The method that patterned low-k dielectric film is described herein.In instances, a kind of method of patterned low-k dielectric film involves the steps of:Formation and patterned photomask layer on low k dielectric.Low k dielectric is placed on substrate.Method is also related to following steps:The exposed portion of low k dielectric is corrected using plasma process.Method is also related to following steps:In same operation, the selective remote plasma process in part is not corrected using to mask layer and low k dielectric, remove low k dielectric has been corrected part.

Description

The method of patterned low-k dielectric film
The cross reference of related application
Present application advocates the equity of United States provisional application the 61/669th, 824 filed in 10 days July in 2012, should The full content of application case is incorporated herein by reference.
Background
1) field
Field of the embodiment of the present invention about semiconductor processes, and in specific words, the side about patterned low-k dielectric film Method.
2) description of Related Art
In semiconductor fabrication, low k dielectric is a kind of material relative to silica with smaller dielectric constant.It is low The implementation of k dielectric material is one of several strategies for allowing the size of microelectronic device persistently to reduce.In digital electricity Conductive component (for example, conducting wire interconnection piece and transistor) is isolated from each other by Lu Zhong, insulative dielectric matter.With component size reduce and Transistor movement is gathered, and insulative dielectric qualitative change is as thin as the degree that charge accumulation and cross-talk have an adverse effect to the performance of device. Replacing silica using the identical low k dielectric of thickness reduces parasitic capacitance, to make switch speed accelerate and heat dissipation drop It is low.
Nevertheless, the evolution of low k dielectric treatment technology still needs to significantly improve.
Summary
The method that the one or more embodiments of the present invention are directed to patterned low-k dielectric film.
In embodiment, a kind of method of patterned low-k dielectric film is related to being formed on low k dielectric and patterns light Cap layer.Low k dielectric is placed on substrate.Method is also related to correct exposing to the open air for low k dielectric using plasma process Part.Method is also related in same operation, using to mask layer and low k dielectric not correct part selectively long-range Part has been corrected in plasma process removal low k dielectric.
In embodiment, a kind of method of patterned low-k dielectric film is related to being formed on low k dielectric and patterns light Cap layer.Low k dielectric is placed on substrate.Method is also related in chamber using based on SiF4/N2The plasma of/Ar The exposed portion of process corrections low k dielectric.Method is also related in the same chamber, using to mask layer and low k dielectric Not correcting part, selectively remote plasma process (is based on selected from by NF3/O2/N2、CF4/O2/N2And NF3/NH3Deng from Plasma in the group that daughter remote plasma is formed) part has been corrected in removal low k dielectric.
In embodiment, a kind of method of patterned low-k dielectric film is related to being formed above low k dielectric and patterns light Cap layer.Low k dielectric is placed on substrate.Method is also related in chamber correct low k dielectric using plasma process The exposed portion of layer and the formation protected material bed of material in mask layer.Method is also related in the same chamber, using to mask layer and Part has been corrected in the part selectively remote plasma process removal low k dielectric of not correcting of low k dielectric.
Brief description
Thus mechanism that Fig. 1 diagram low k dielectrics can be damaged or be hit under regular oxidation condition of plasma, should Equal regular oxidations condition of plasma is used to remove the polymer formed in conventional etch process based on fluorocarbon.
Fig. 2 is the flow chart of the operation in the method for the patterned low-k dielectric film for indicating embodiment according to the present invention.
Correspond to figure in the method for the patterned low-k dielectric film of the embodiment of Fig. 3 A to Fig. 3 F diagrams expression according to the present invention The viewgraph of cross-section of the various operations of 2 flow chart.
Fig. 4 A and Fig. 4 B diagrams indicate the various behaviour in the method for the patterned low-k dielectric film of embodiment according to the present invention Work and the viewgraph of cross-section of corresponding chamber configuration.
The embodiment of Fig. 5 A diagrams according to the present invention uses the system for executing the patterned method of low-k dielectric film.
The schematic diagram of the possible configuration for the chamber 502 in Fig. 5 A of the embodiment of Fig. 5 B diagrams according to the present invention.
The block diagram of the exemplary computer system of the embodiment of Fig. 6 diagrams according to the present invention.
It specifically describes
The method that this case describes patterned low-k dielectric film.Numerous specific details are proposed in being described below, such as repairing The particular plasma body processing of the part of positive low-k dielectric film and effect, in order to provide the comprehensive understanding to the embodiment of the present invention. Those skilled in the art will be evident that the embodiment of the present invention can be carried out without such specific detail. In order not to unnecessarily make the embodiment of the present invention smudgy, well known aspect is not described in detail in other examples, it is all Such as it is used for the molding light lithography patterning of light shield and developing technique.Furthermore, it is to be understood that various embodiments shown in attached drawing are to say Bright property diagram, and be not necessarily drawn to scale.
Such as the usual plasma etching of porous low k dielectric material of porous SiC OH has sensibility.Problem is usually produced Life is during etch process to ultralow k (ultra-low-k;ULK) the Si-CH in film3Around damage caused by network.This Class damages the mechanical integrity that can influence ULK films.Moreover, (being based on C based on fluorocarbonxFy) etch process during The polymer of formation can cause " F memory effects ".Sensibility can also be generated due to high porosity, and high porosity to etch Chemicals in depth diffuse in low-k dielectric film.
One of the critical issue of patterned low-k dielectric film is the control to the caused level of damage during etch process System.Used plasma parameter and chemicals usually correct the phosphorus content of low-k dielectric film, which leads to electric behavior (k Value and leakage rate) variation.One of the basic reason of carbon loss wound is in stripping CxFyOccur during polymer, CxFyPolymer is It is deposited in low-k surface during etch process.Nevertheless, being based on CxFyChemicals be beneficial to typical dielectric etch Technique because the chemicals can provide side wall protection during plasma etching, and improves anisotropic etching ability.
According to one or more embodiments as described herein, the basic aspect of etching is lost by using alternative gas It carves and is addressed.For example, in one embodiment, using the predecessor based on Si (for example, SiF4、SiCl4, other fluorine silicon Alkane, chlorosilane, iodine silane), nitriding gas is (for example, N2、NH3Deng) and/or inert dilution gas (for example, Ar, He, Xe, Ne) Combination.By the deposit based on Si (rich nitrogen) is formed on the trenched side-wall for being subjected to etching, anisotropic etching may It can implement.This deposit also protects low-k dielectric film from plasma attack.
In other embodiments, oxygen and N are used in conjunction with2Or substitute N with oxygen2, to be formed based on Si-O's on side wall Deposit.In etch sequence, subsequent stage or operation are related to using remote plasma or low-energy plasma to generate The substance of such film is optionally removed from low k dielectric.One example is using based on NF3/NH3Remote plasma Body is to form NH4F, the NH4F is reacted with sidewall deposition to form silicate material.Silicate material distils at high temperature. In one such embodiment, above-mentioned technique for low-k materials have it is more highly selective because low-k materials in the process not by Etching.In another embodiment, it can be used based on O2/N2/NF3Or NO/NF3Remote plasma process with etch removal Si- N class sidewall depositions.Technique is adjusted more highly selective to have for low-k dielectric film.The upper of etch process can be repeated several times Two aspects are stated to control for profile.
The purpose of the one or more embodiments of the present invention is to describe the carbon-free fluorination of such as low-k dielectric film of ULK films Close object etching.In one embodiment, the one or more kinds of methods of this paper are for providing a kind of patterning method, and this method is for example Damage or the detrimental impact to low-k dielectric film are reduced in etch patterning technique.It is as described herein in such embodiment Method can be particularly useful to the oxidation film of doped carbon.Conventional ULK etch process based on fluorocarbon is inevitably in ULK CF polymer is deposited on surface.Then, (post etch treatment are post-processed using oxide etch;PET) plasma is gone Except polymer.However, the oxidable carbon containing ULK dielectric mediums of PET plasmas.Oxidized carbon containing ULK dielectric mediums can be subjected to bad Moisture absorb, to make the dielectric constant (k values) of ULK dielectric mediums increase.Embodiment according to the present invention is described below super Low k dielectric without fluorocarbon etch.
Thus mechanism that Fig. 1 diagram low k dielectrics can be damaged or be hit under regular oxidation condition of plasma, should Equal regular oxidations condition of plasma is used to remove the polymer formed in conventional etch process based on fluorocarbon.Ginseng The mechanism (a) for seeing Fig. 1 accounts for about the low k of the SiCOH that dielectric constant is about 2.5 when being subjected to oxidative ashing (oxidizing ash) The methyl SiO of the 40% of dielectric film3Segment can adversely lose its methyl and change hydroxy into.Referring to the mechanism (b) of Fig. 1, when When being subjected to oxidative ashing, account for about 10% SiO of the SiCOH low-k dielectric films that dielectric constant is about 2.52-(CH2)2Segment can not It is converted into SiO sharply2-(COH)2Segment.Account for about when being subjected to reduction ashing (reducing ash) referring to the mechanism (c) of Fig. 1 40% methyl SiO of the SiCOH low-k dielectric films that dielectric constant is about 2.53Segment can adversely lose its methyl and change hydrogen into Compound dentate (H).
In embodiment, no fluorocarbon etch is used for patterned low-k dielectric film.It is not formed and is needed originally as a result, Ashed technique is come the CF polymer that removes.In one embodiment, etch process as described herein is included in same operation Two basic functions implemented:(1) exposing to the open air for low-k dielectric film is corrected via the processing carried out using the first plasma etching A part for film is converted to silica (or low carbon content oxide) by part, and (2) utilize the second remote plasma Etching removal processed layers.As a result, in embodiment, ULK films are not exposed to otherwise can be to Si-CH3Network causes to damage Any halogen radical or oxygen radical.
In the aspect of the present invention, by a part for finishing low-k dielectric film and then with the non-correction portion to film Divide selective mode to remove and part has been corrected, carrys out patterned low-k dielectric film.For example, Fig. 2 is the reality indicated according to the present invention Apply the flow chart 200 of the operation in the method for the patterned low-k dielectric film of example.Fig. 3 A to Fig. 3 F diagrams indicate according to the present invention The viewgraph of cross-section of operation in the method for the patterned low-k dielectric film of embodiment.
Referring in flow chart 200 operation 202 and corresponding Fig. 3 A and Fig. 3 B, a kind of method of patterned low-k dielectric film It is included on low k dielectric 304 and forms (Fig. 3 A) and pattern (Fig. 3 B) mask layer 306, which is placed in On substrate 302.In one embodiment, mask layer 306 is the photoresist layer directly formed on low k dielectric 304.In another reality It applies in example, photoresist layer is formed on hard mask layer (being in the present embodiment 306) first, which is placed in low k and is situated between On electric layer 304.
In embodiment, such as in the case where mask layer 306 is patterned hard mask layer, in low k dielectric 304 On formed and include forming and patterning non-oxide mask layer the step of patterned photomask layer 306.Non-oxide mask layer can needle It is described in more detail in following article to oxide etch offer selectivity.In such specific embodiment, mask layer 306 is containing gold Belong to layer, such as (but not limited to) titanium nitride layer or tantalum nitride layer.In another such specific embodiment, mask layer 306 is non-oxygen Change dielectric materials layer, such as (but not limited to) silicon nitride layer.
In another embodiment, such as in the case where mask layer 306 is patterned photoresist layer, in low k dielectric It is formed on 304 and includes forming and patterning photoresist layer the step of patterned photomask layer 306.Photoresist layer can be micro- by being suitable for The material of shadow technique forms.Also that is, in embodiment, photoresist layer is exposed to light source, even and if developing with photoresist layer.At one In embodiment, the part of the light source to be exposed to of photoresist layer will remove after photoresist layer develops, for example, photoresist layer is by positive photoresist Material is formed.In a specific embodiment, photoresist layer is made of positive photoresist, such as (but not limited to) 248 nanometers sections Point resist, 193 nanometer nodes resists, 157 nanometer nodes resists, extreme ultraviolet (extreme ultra-violet; EUV) resist, or the phenol resin matrix containing diazonaphthoquinone sensitizers.In another embodiment, the light to be exposed to of photoresist layer The part in source will be retained after photoresist layer develops, such as photoresist layer is made of negative photoresist.In specific embodiment In, photoresist layer is made of negative photoresist, such as (but not limited to) poly- along isoprene and cinnamic acid polyethylene.
In embodiment, the dielectric coefficient of low k dielectric 304 is less than the dielectric coefficient of silica, for example, less than about 3.9.In one embodiment, low k dielectric 304 is following material, such as (but not limited to) adulterate silica, the doping of fluorine It is the silica of carbon, porous silica, the porous silica of doped carbon, porous SiLK, spin-coating poly- based on poly- silica Close object dielectric medium or spin-coating organic polymer dielectric medium.Embodiment according to the present invention, low k dielectric 304 are dielectric constant OH layers of porous SiC less than 2.7.
Substrate 302 can be made of the material for being suitable for being resistant to manufacturing process, and the material can make semiconductor processing layers suitable Ground is closed to be located on itself.Embodiment according to the present invention, substrate 302 are made of the material based on IV races, such as (but it is unlimited In) crystalline silicon, germanium or silicon/germanium.In a particular embodiment, the step of providing substrate 302 includes providing monocrystalline state silicon substrate.In spy Determine in embodiment, monocrystalline state silicon substrate is doped with foreign atom.In another embodiment, substrate 302 is by III-V material institute group At.In embodiment, multiple semiconductor devices are located on substrate 302, under low k dielectric 304.
Referring in flow chart 200 operation 204 and corresponding Fig. 3 C, the step of patterned low-k dielectric film also including the use of Plasma process 307 corrects the exposed portion of low k dielectric 304.The exposed portion of low k dielectric 304 is by pattern The light shield 306 of change and those of expose part to the open air.Plasma 307 is for correcting exposed portion to provide low k dielectric 304 It corrects part 308 and does not correct part 304B.In addition to correcting low-k materials, the protected material bed of material can be also deposited in same technique 399, as depicted in Fig. 3 C.Such protected material bed of material 399 is more retouched in detail below in conjunction with Fig. 4 A and 4B It states.As a result, in embodiment, the amendment of the deposition of protective layer and low-k dielectric film is carried out in same technique, or at least real It is carried out simultaneously in matter.
In embodiment, the first plasma process is related to being based on SiF4/N2The plasma of/Ar.In such implementation In example, low-k sidewalls are protected using SiON layer or SiONH layer, specially deoxidation or introducing oxygen in such layer.In specific embodiment In, the Ar in plasma is only used for hitting the bottom part of groove, to selectively and anisotropically correct low-k materials (as shown in more specific in Fig. 4 A and 4B).In embodiment, in order to replace SiF4Or remove SiF4Except, it can be used such as (but not It is limited to) SiCl4、Si(CH3)3H、SiH4、Cl2SiH2、Si2Cl6Substance (for example, be substantially include Si-Cl keys or Si-F keys Substance).In a particular embodiment, the pressure that Ar has is approximately within the scope of 5mTorr to 50mTorr.In embodiment, N2It is used together in conjunction with the power being approximately within the scope of 10W to 1000W.In embodiment, using being approximately in 2MHz extremely Bias rf frequency within the scope of 60MHz.In embodiment, the combination of two or more frequency is for executing bias, example Such as, the combination of 2MHz/60MHz, 13MHz/60MHz or 27MHz/60MHz.In embodiment, electrostatic chuck (electrostatic chuck;ESC) temperature is about 10 degrees Celsius.In embodiment, above-mentioned condition is all combined together and makes With.
Referring again to the operation 204 in flow chart 200, and referring now to corresponding Fig. 3 D, the step of patterned low-k dielectric film Suddenly part 308 also has been corrected including removal low k dielectric 304B.In embodiment, removal step is to mask layer 306 and low k Dielectric layer 304 not correct part 304B selective.Due to can remove carbon during the amendment of low k dielectric 304, Oxide can be more closely similar to by correcting the characteristic of part 308.As a result, in embodiment, it has been repaiied using oxidation removal technique to remove The example of this operation is provided below in positive part 308.
In embodiment, using the second remote plasma with by removing corrected low-k materials and protective layer 399 and Substantially clean surface.In such embodiment, NF3/O2/N2Plasma or CF4/O2/N2Plasma or NF3/NH3 Plasma is remotely being used as the second plasma.As a result, in one embodiment, (and not remote using the first plasma Plasma) to be formed simultaneously protective layer and correct low-k dielectric film.Then, using the second plasma (remote plasma) To remove protective layer and corrected low-k dielectric layers.Below in conjunction with Fig. 5 B to describe the chamber suitable for such technique Example.
Embodiment according to the present invention as a result, by the part film of conversion low k dielectric to realize low-k dielectric film Etching.In one embodiment, etching can be referred to as atomic layer etch or molecular level etching (molecular level etching; MLE), only have one layer because in each process cycles, in the exposed portion of low-k dielectric film or a small number of layer is inverted and then go It removes.If examples detailed above proves, in one embodiment, etch process includes being repaiied first optionally by the first plasma Then the composition on the just horizontal surfaces ULK utilizes the removal of second (long-range) plasma that part has been corrected.In one embodiment In, the method described in this case indicates to convert by the real film for removing methyl from low-k dielectric film.
In comparison, conventional chemical etching is usually directed to the deposited polymer on the surfaces ULK, and is operated using oxidation PET Remove the polymer.Using based on aqueous cleaning, to remove the ULK damaged, this measure can cause wiring to be bent and inhale moisture It receives into ULK.Conversely, in the embodiments herein, realized to ULK materials substantially by CF etch chemistries are avoided completely Undamaged etching.Using inert, plasma to remove carbon from the surfaces ULK.Then, using downstream plasma to remove ULK Part has been corrected.Downstream plasma etching is to the great selectivity of ULK, because of characteristic of the etching with pure chemical etch.
The method that the above-mentioned operation 204 with flow chart 200 is correlated can be executed optionally repeatedly, to reach low k dielectric 304 suitable patterning degree.For example, referring again to Fig. 3 D, groove 310, remaining portions are formed in low k dielectric 304 Patterned and uncorrected low k dielectric 304B.The depth of groove 310 can be not enough to for executing the suitable of low k dielectric 304 The patterning of conjunction, especially because the reason of above-mentioned amendment and removal technique once only can remove one or several molecular layers.
Thus, in embodiment, low k dielectric 304 be subjected to the exposed portion of low k dielectric 304 it is multiple amendment and Removal technique, until the depth for being suitable for subsequent technique requirement is reached until groove 310.In such embodiment, repeatedly It executes and corrects and remove, until part of trench is formed in low k dielectric 304, but be not completely through low k dielectric 304 Only.In another such embodiment, execute and correct and removal repeatedly, until whole grooves completely through low k dielectric 304 shape As only.
As the example of circulation technology, Fig. 3 E and Fig. 3 F combination Fig. 3 A to Fig. 3 D diagrams execute altogether to be recycled twice to pattern The embodiment of low k dielectric.It should be understood that, it may be necessary to execute far more than twice amendment and removal cycle with suitably pattern Change low-k dielectric film.
E referring to Fig. 3, in same operation, using being related to the of the first remote plasma and the second remote plasma Two correct plasma process amendment and etch the exposed portion of low k dielectric 304B, such as the exposed surface of groove 310.Low k The exposed portion of dielectric layer 304B is those of exposes part and low k dielectric 304B to the open air by patterned light shield 306 Expose side wall to the open air.Second of amendment repeated and etch cycle in Fig. 3 E form deeper groove 310', and therefore form Patterned low-k dielectric film 304C.
F referring to Fig. 3, once the desired depth for reaching groove 310' (can relate to many times above-mentioned amendment and remove technique Cycle), then it can remove light shield 306.However, in embodiment, it is necessary to removal light shield 306 with caution, to be optionally directed to Patterned low k dielectric 304C executes removal step, and does not generate detrimental impact to patterned low k dielectric 304C (for example, dielectric constant is made to increase).
In embodiment, mask layer 306 is made of photoresist, and is removed in cineration technics.However, in a reality It applies in example, applies ashing plasma in a manner of limiting the ashing damage to patterned low k dielectric 304C.Such In specific embodiment, although the composition of the mask layer 306 based on photoresist and the low k dielectric 304C patterned may classes Seemingly, but mask layer 306 can be optionally removed using their difference.For example, organic light shield may include hydrocarbon polymer (including Elements C, element H, element O), in the case, the etching of the light shield is more depending on neutral substance, and nonionic.Meanwhile scheming The low k dielectric 304C of case may include with Si-CH3The O-Si-O networks of group, in the case, the low k dielectric Etching can need some ion energy and neutral substance to cause to damage.In embodiment, it is used for selective removal mask layer 306 Method may include increasing selection of the ashing for patterned low k dielectric 304C by macroion/neutral substance ratio Property, which can remove organic light shield on horizontal surface, while retain the patterned low k dielectric in vertical surface 304C。
Another embodiment according to the present invention, using cycle passivation and ashing method with reduce usually with expose to the open air certainly or part The low k dielectric exposed to the open air goes the associated ashing damage of removing photoresistance layer.In such embodiment, technological process includes alternating The step of executing passivation and ashing.During each passivation operation in passivation operation, using siliceous passivator with selectivity Ground is reacted with ultralow k (ULK) material, to form silicon-containing film thin layer on the exposed portion of ULK materials.In ash operation Each ash operation during, the thin layer of silicon-containing film is used to protect the ashing plasma that for example can be used for etching photoresist layer. This protection reduces ashing plasma can be to the damage caused by ULK materials.The protection feature of passivation layer can be considered in situ, just For this meaning, it is bonded that film can form Si-O after being exposed to ashing plasma.Si-O is bonded to be provided for ashing The selectivity of plasma.
During the ashing executed using chemical reaction or physically splash plating, removable or a little passivation layer of at least trading off.Cause And in one embodiment, use cycle passivation/ash operation.Such cycle passivation/ash operation can be executed repeatedly, until Removal is all until organic photomask materials (for example, covering photoresist layer).For example, in one embodiment, by etching acid (HF) The method of cleaning can remove any residual fraction of passivation layer.
In a particular embodiment, it is to form passivation layer for protecting patterned low k during removal mask layer 306 Dielectric layer 304C forms silicon source layer first on the surfaces groove 310' of patterned low k dielectric 304C.In embodiment In, silicon source layer is formed by the molecular substance reacted with the hydrolyzable moiety of patterned low k dielectric 304C.Implement at one In example, silicon source layer forms covalent bond in silicon source layer and between the exposed portion of patterned low k dielectric 304C.In a reality It applies in example, silicon source layer is formed by such as (but not limited to) following substance:Silicon tetrachloride (SiCl4), dimethyl silane ((CH3)2SiH2), trimethyl silane ((CH3)3SiH), N- (trimethyl silicane) dimethylamine ((CH3)3SiN(CH2)2) or 1,1,1, 3,3,3- hexamethyldisilazane (HMDS).In one embodiment, during forming silicon source layer, substrate 302 is located at hot plate On.
Then, silicon source layer is exposed to oxygen source, with the shape on the surfaces groove 310' of patterned low k dielectric 304C At containing Si-O layers, and remove at least part of the mask layer 306 based on photoresist.In embodiment, it is being removed containing Si-O layers Patterned low k dielectric 304C is protected during some or all mask layers 306.It should be understood that lacking the feelings containing Si-O layers Under condition, patterned low k dielectric 304C can be damaged originally because of the technique of the part for removing mask layer 306. In embodiment, the step of silicon source layer being exposed to oxygen source is handled including the use of plasma.In one embodiment, etc. Gas ions are based on oxygen radical source.Oxygen radical source is the molecule with the dissociating product being made of oxygen radical.In such spy Determine in embodiment, oxygen radical source is such as (but not limited to) following source:Oxygen (O2), ozone (O3), carbon dioxide (CO2) or Water (H2O).In embodiment, after removing mask layer 306, removal contains Si-O layers.In such embodiment, by dry The removal of formula etch process contains Si-O layers.In another embodiment, it is gone using the wet etching solution including etching acid (HF) Except containing Si-O layers.However, it should be understood that such extra process may be not necessarily to.For example, in one embodiment, anti-using chemistry Answer or physically splash plating execute ashing during removal contain Si-O layers.
As described above, protective layer can be formed during low k corrects technique.For example, Fig. 4 A and 4B are to illustrate according to the present invention Embodiment patterned low-k dielectric film method in various operations and corresponding chamber configuration viewgraph of cross-section.
Referring to Fig. 4 A, it includes (or formed in low k dielectric that all materials for stacking as shown in FIG. 3 C, which stack 402A, Formed) groove.Protective layer 499 is formed in the first plasma process, and the exposed portion of low k dielectric is then in same first-class It is corrected in ionomer technology.In embodiment, the first plasma process is located in the first plasma rank in stacking 402A It is executed when fragment position 404A, such as combines the more thorough description of Fig. 5 B.Therefore, in embodiment, protective side wall is used for (and to close Sealing of hole gap is to prevent stopping loss wound) plasma-deposited executed in same technique with anisotropic films amendment.
Referring to Fig. 4 B, all materials stacked as shown in fig.3d stack 402B and are included in the ditch cleaned in low k dielectric Slot.The protective layer 499 in Fig. 4 A is removed in the second plasma process and low-k materials have been corrected.In embodiment, second etc. Ionomer technology is remote plasma process.In such embodiment, the second plasma process is fixed in stacking 402B Position is executed in the second plasma stage position 404B, such as combines the more thorough description of Fig. 5 B.In a particular embodiment, Siconi plasma process is removing sedimentary and layer has been corrected.
To sum up, in embodiment, low k is optionally removed in the case where k values do not deviate using the method based on non-carbon The part of dielectric film.In one embodiment, using following sequential process:First, it is used for the predecessor based on Si to carry side The etching of wall protection;Secondly, division operation is gone based on free radical using with highly selective.The advantage of this technique may include (but It is not limited to) using carbon-free technique (no required ashing or etching post treatment method), it may not be necessary to wet-cleaned, used ion Can be relatively low to make the weathered minimum of metallic hard light shield, and facture and go division operation with self limiting to reach excellent Depth and equal control.
In embodiment, the one or more persons in above-mentioned technique execute in plasma etch chamber.For example, at one In embodiment, the one or more persons in above-mentioned technique are in AppliedIt is executed in Enabler dielectric etch systems, it should System is purchased from the Applied Materials (Applied Materials) of California, USA Sunnyvale city.Another In embodiment, the one or more persons in above-mentioned technique are in Applied MaterialsTMIt is held in AdvantEdge G3 etchers Row, the etcher are also purchased from the Applied Materials of California, USA Sunnyvale city.
It can be suitable for executing low k dielectric in the processing equipment that the adjacent place of etching sample provides etching plasma Patterning.For example, the embodiment of Fig. 5 A diagram according to the present invention use execute the patterned method of low-k dielectric film be System.
Referring to Fig. 5 A, the system 500 for executing plasma etch process includes chamber 502, which is equipped with Sample holder 504.Evacuator 506, inlet duct 508 and plasma ignition apparatus 510 are coupled with chamber 502.It calculates Device 512 is coupled with plasma ignition apparatus 510.In addition, system 500 may include the voltage coupled with sample holder 504 Source 514, and the detector 516 with the coupling of chamber 502.Computing device 512 also can be with evacuator 506, inlet duct 508, electricity Potential source 514 and detector 516 couple, as shown in Figure 5 A.
Chamber 502 and sample holder 504 may include reaction chamber and sample positioning device, the chamber and the positioning device It is suitable for accommodating electrification object ionized gas (also that is, plasma) and sample is made to eject close to ionized gas or from gas Matter.Evacuator 506 can be the device for being suitable for that chamber 502 is evacuated and depressurized.Inlet duct 508 can be to be suitable for react Device in gas injecting chamber 502.Plasma ignition apparatus 510 can be the device for being suitable for a little drawing plasma, such Gas ions are derived from by the reaction gas in 508 injecting chamber 502 of inlet duct.Arrangement for detecting 516 can be to be suitable for detecting The device of the terminal of processing operation.In one embodiment, system 500 includes chamber 502, sample holder 504, evacuator 506, inlet duct 508, plasma ignition apparatus 510 and detector 516, above-mentioned each and Applied Enabler dielectric etch systems or Applied MaterialsTMDevice class those of included in AdvantEdge G3 systems It is seemingly or identical.
The schematic diagram of the possible configuration for the chamber 502 in Fig. 5 A of the embodiment of Fig. 5 B diagrams according to the present invention.Ginseng See Fig. 5 B, and associated with the description of Fig. 3 C and 3D, chamber 502 has for executing the first not remote plasma process One stage (plasma stage 1, the stage may include the source in situ with bias).It is related to shape for example, can be executed in the stage 1 At protective layer and the plasma process of amendment low-k dielectric film.Chamber 502 also has for executing remote plasma process Second stage (plasma stage 2).It is related to cleaning deposited protective layer and corrected low k for example, can execute in the stage 2 The plasma process of material.Such configuration of chamber 502 can enable free radical/ion ratio be trimmed off.Such adjustable source Benefit may include to etch anisotropy non-polymer facture control.Also it can perform and defined by the depth that layer has been corrected Successively go division operation.In addition, the adjustable selectivity based on the microcontroller to metasable state substance (for example, NH, F, O, H, Cl etc.) It can be achieved.
The embodiment of the present invention can be used as computer program product or software and provide, and the product or software may include above Store the machine-readable medium of instruction, such instruction can be used to computer system (or other electronic devices) with execute according to According to the technique of the present invention.Machine-readable medium includes for letter to be stored or transmitted in the form of machine (for example, computer) is readable Any mechanism of breath.For example, machine readable (for example, computer-readable) media include machine (for example, computer) readable storage Media are (for example, read-only memory (" ROM "), random access memory (" RAM "), disc storage media, light memory medium, sudden strain of a muscle Cryopreservation device etc.), machine (for example, computer) readable transmission media (propagation of electric signal, optical signal, acoustical signal or other forms Signal (for example, infrared signal, digital signal etc.)) etc..
The diagram illustrating for the machine that Fig. 6 icons are presented with the exemplary form of computer system 600, in the system 600, It can perform the instruction set for making machine execute any or more person in method discussed herein.In alternate embodiment In, machine can connect other in (for example, network connection) to local area network (LAN), internal network, external network or internet Machine.Machine can with the capability operation of the server or client machine in master-slave network environment, or as it is point-to-point (or point Cloth) peer machines operation in network environment.Machine can be personal computer (PC), tablet PC, set-top box (STB), individual Digital assistants (PDA), mobile phone, the network equipment, server, network router, interchanger or bridge, or it is able to carry out finger Any machine of collection (sequentially or other), the instruction set is enabled to provide the operation that will be taken by machine.Further, although only scheming Show individual machine, but term " machine " is also intended to embrace the set of any machine (for example, computer), such machine individually or It is common to execute (or multiple) instruction set to execute any or more person in method discussed herein.
Exemplary computer system 600 includes processor 602, main memory 604 (for example, read-only memory (ROM), sudden strain of a muscle Deposit, dynamic random access memory (DRAM) (synchronous dram (SDRAM) or Rambus DRAM (RDRAM) etc.)), it is static Memory 606 (for example, flash memory, static RAM (SRAM) etc.) and additional storage 618 are (for example, data storage Device), above-mentioned each is via 630 communication with one another of bus.
Processor 602 indicates one or more general processing units, such as, microprocessor, central processing unit or similar Object.More particularly, processor 602 can be complex instruction set calculation (CISC) microprocessor, reduced instruction set computing (RISC) Microprocessor very long instruction word (VLIW) microprocessor, implements the processor of other instruction set, or executes instruction the place of collection combination Manage device.Processor 602 also can be one or more special processors, for example, application-specific integrated circuit (ASIC), field-programmable Gate array (FPGA), digital signal processor (DSP), network processing unit or the like.Processor 602 is configured to execute processing Logic 626 is for execution operation discussed herein.
Computer system 600 can further comprise Network Interface Unit 608.Computer system 600 also may include that video is aobvious Show unit 610 (for example, liquid crystal display (LCD) or cathode-ray tube (CRT)), literary digital input unit 612 (for example, key Disk), cursor control device 614 (for example, mouse) and signal generation device 616 (for example, loud speaker).
Additional storage 618 may include that stored thereon has the machine of one or more instruction set (for example, software 622) that can deposit Take store media (or more particularly, computer readable storage medium) 631, such instruction set include method described herein or Any or more person of function.Software 622 also can completely or at least partially be located at primary storage during being executed by computer 600 In device 604 and/or in processor 602, main memory 604 and processor 602 also constitute machine readable storage media.Software 622 further can transmit or receive via Network Interface Unit 608 on network 620.
Although icon is single medium to machineaccessible store media 631 in the exemplary embodiment, " machine can for term Read storage store media " single medium or multiple media are intended to embrace (for example, centralized or distributed database and/or phase Associated cache and server), such one or more instruction set of media storage.Term " machine readable storage media " is also Any media of the instruction set for being executed by machine can be stored or encode by being intended to embrace, and machine is made to execute the present invention's Any media of any or more method in method.Thus term " machine readable storage media " should be regarded as including (but not It is limited to) solid-state memory, optical memory and magnetic medium.
Embodiment according to the present invention stores instruction in machineaccessible store media, and such instruction makes data processing The method that system executes patterned low-k dielectric layer.This method is included in formation and patterned photomask layer on low k dielectric, should Low k dielectric is placed on substrate.The exposed portion of low k dielectric is corrected using plasma process.In same operation, Using remote plasma process, by mask layer and low k dielectric do not correct part selectively in a manner of remove low k Part has been corrected in dielectric layer.
The method for having revealed that patterned low-k dielectric film as a result,.

Claims (14)

1. a kind of method of patterned low-k dielectric film, the method comprise the steps of:
It is formed on low k dielectric and patterned photomask layer, the low k dielectric is placed on substrate;
The exposed portion of the low k dielectric is corrected using plasma process;And in same operation,
Using the part of not correcting to the mask layer and the low k dielectric, selectively remote plasma process removes Part has been corrected in the low k dielectric;And
The amendment step and the removal step are executed repeatedly one or more times, it is one or more to be formed in the low k dielectric A groove,
The step of wherein correcting the exposed portion of the low k dielectric using the plasma process further includes following step Suddenly:The protected material bed of material is formed in the mask layer using the plasma process.
2. the method as described in claim 1, wherein exposing portion to the open air using what the plasma process corrected the low k dielectric The step of dividing comprises the steps of:Using based on SiF4/N2The plasma of/Ar.
3. method as claimed in claim 2, wherein the Ar has the pressure in the range of 5mTorr to 50mTorr, And the plasma has the power in the range of 10W to 1000W.
4. the method as described in claim 1, wherein removing the institute of the low k dielectric using the remote plasma process The step of part has been corrected is stated to comprise the steps of:Use the plasma selected from the group being made of the following:NF3/O2/ N2、CF4/O2/N2And NF3/NH3Plasma remote plasma.
5. the method as described in claim 1, wherein being formed and being comprised the steps of the step of patterning the mask layer:It is formed And material of the patterning selected from the group being made of titanium nitride and tantalum nitride.
6. the method as described in claim 1, wherein being formed and being comprised the steps of the step of patterning the mask layer:It is formed And patterning non-oxidized substance dielectric material.
7. the method as described in claim 1, wherein being formed and being comprised the steps of the step of patterning the mask layer:It is formed And patterning photoresist layer.
8. the method as described in claim 1, wherein forming and patterning the step of the mask layer on the low k dielectric Suddenly it comprises the steps of:The light is formed and patterned on the low k dielectric selected from the group being made of the following Cap layer:Adulterate the porous silica, porous of the silica of fluorine, the silica of doped carbon, porous silica, doped carbon OH layers of SiLK, the spin-coating Polymeric dielectric matter based on poly- silica, organic spin-coating Polymeric dielectric matter and porous SiC, it is described The dielectric constant that OH layers of porous SiC is less than 2.7.
9. a kind of method of patterning low-k dielectric film, the method comprise the steps of:
It is formed on low k dielectric and patterned photomask layer, the low k dielectric is placed on substrate;
In the chamber using based on SiF4/N2The plasma process of/Ar corrects the exposed portion of the low k dielectric;And same In one chamber,
Using the part of not correcting to the mask layer and the low k dielectric, selectively remote plasma process removes Part has been corrected in the low k dielectric, and the remote plasma process is based on selected from the group being made of the following Plasma:One NF3/O2/N2, a CF4/O2/N2An and NF3/NH3Plasma remote plasma;And
The amendment step and the removal step are executed repeatedly one or more times, it is one or more to be formed in the low k dielectric A groove.
10. method as claimed in claim 9, wherein described be based on SiF4/N2The Ar of the plasma process of/Ar, which has, to be in Pressure in the range of 5mTorr to 50mTorr, and it is described based on SiF4/N2The plasma of/Ar, which has, is in 10W to 1000W In the range of power.
11. method as claimed in claim 9, wherein correcting exposing to the open air for the low k dielectric using the plasma process The step of part, further includes following steps:Using the plasma process protection materials are formed in the mask layer Layer.
12. method as claimed in claim 9, wherein being formed and being comprised the steps of the step of patterning the mask layer:Shape At and patterning selected from the material of group being made of titanium nitride and tantalum nitride.
13. method as claimed in claim 9, wherein being formed and being comprised the steps of the step of patterning the mask layer:Shape At and patterning non-oxidized substance dielectric material.
14. method as claimed in claim 9, wherein being formed and being comprised the steps of the step of patterning the mask layer:Shape At and patterning photoresist layer.
CN201380034656.9A 2012-07-10 2013-06-21 The method of patterned low-k dielectric film Expired - Fee Related CN104395990B (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261669824P 2012-07-10 2012-07-10
US61/669,824 2012-07-10
US13/922,543 US8802572B2 (en) 2012-07-10 2013-06-20 Method of patterning a low-k dielectric film
US13/922,543 2013-06-20
PCT/US2013/047164 WO2014011382A1 (en) 2012-07-10 2013-06-21 Method of patterning a low-k dielectric film

Publications (2)

Publication Number Publication Date
CN104395990A CN104395990A (en) 2015-03-04
CN104395990B true CN104395990B (en) 2018-08-31

Family

ID=

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1433062A (en) * 2002-01-10 2003-07-30 联华电子股份有限公司 Method of forming opening in low dielectric constant material

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1433062A (en) * 2002-01-10 2003-07-30 联华电子股份有限公司 Method of forming opening in low dielectric constant material

Similar Documents

Publication Publication Date Title
KR102033685B1 (en) Method of patterning a low-k dielectric film
US11302519B2 (en) Method of patterning a low-k dielectric film
US8741775B2 (en) Method of patterning a low-K dielectric film
US8940642B2 (en) Method of multiple patterning of a low-K dielectric film
US8329585B2 (en) Method for reducing line width roughness with plasma pre-etch treatment on photoresist
KR102513424B1 (en) Borane mediated dehydrogenation process from silane and alkylsilane species for spacer and hardmask application
CN109119330B (en) Method for forming semiconductor device
US8871650B2 (en) Post etch treatment (PET) of a low-K dielectric film
US7977242B2 (en) Double mask self-aligned double patterning technology (SADPT) process
CN101317248B (en) Method and device of feature supply in etch layer
US8980754B2 (en) Method of removing a photoresist from a low-k dielectric film
TW201543537A (en) Etching method to form spacers having multiple film layers
TW202001991A (en) Patterning method for semiconductor device
KR20210149893A (en) Atomic Layer Etching and Selective Deposition Processes for Extreme Ultraviolet Lithography Resist Improvements
TWI393997B (en) Method for etching a low-k dielectric layer over a substrate, semiconductor device and apparatus for forming features in a low-k dielectric layer
TW202105472A (en) Multiple spacer patterning schemes
US20070093069A1 (en) Purge process after dry etching
US20150132959A1 (en) Pattern formation and transfer directly on silicon based films
CN108538814A (en) Method for manufacturing metal insulator metal element
CN104395990B (en) The method of patterned low-k dielectric film
US6291329B1 (en) Protective oxide buffer layer for ARC removal
US7435681B2 (en) Methods of etching stacks having metal layers and hard mask layers
KR20210031414A (en) Substrate processing method

Legal Events

Date Code Title Description
PB01 Publication
SE01 Entry into force of request for substantive examination
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20180831