CN104360199A - 一种特高频段rfid 测试系统 - Google Patents

一种特高频段rfid 测试系统 Download PDF

Info

Publication number
CN104360199A
CN104360199A CN201410673755.8A CN201410673755A CN104360199A CN 104360199 A CN104360199 A CN 104360199A CN 201410673755 A CN201410673755 A CN 201410673755A CN 104360199 A CN104360199 A CN 104360199A
Authority
CN
China
Prior art keywords
signal
module
intermediate frequency
converter
digital
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201410673755.8A
Other languages
English (en)
Inventor
刘影
张威
丁恒春
王雯昊
王皓
杨潇雨
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
State Grid Corp of China SGCC
North China Electric Power Research Institute Co Ltd
Electric Power Research Institute of State Grid Jibei Electric Power Co Ltd
Original Assignee
State Grid Corp of China SGCC
North China Electric Power Research Institute Co Ltd
Electric Power Research Institute of State Grid Jibei Electric Power Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by State Grid Corp of China SGCC, North China Electric Power Research Institute Co Ltd, Electric Power Research Institute of State Grid Jibei Electric Power Co Ltd filed Critical State Grid Corp of China SGCC
Priority to CN201410673755.8A priority Critical patent/CN104360199A/zh
Publication of CN104360199A publication Critical patent/CN104360199A/zh
Pending legal-status Critical Current

Links

Landscapes

  • Monitoring And Testing Of Transmission In General (AREA)

Abstract

本发明涉及电子标签技术领域,特别是关于一种特高频段RFID测试系统,包括控制器用于生成测试内容,并接收FPGA芯片的通信数据进行分析;可重配I/O单元用于控制器与FPGA芯片之间的通信连接;FPGA芯片用于根据测试内容生成测试指令,与特高频段电子标签进行通信;第一上变频器和第二上变频器用于将低频的测试指令转换为发向特高频段电子标签的特高频射频信号;第二下变频器和第一下变频器将接收到的特高频段电子标签发送的特高频应答信号转换为低频数字信号,并将低频数字信号发送给FPGA芯片。通过本发明实施例的系统,通过FPGA芯片和多个上变频器和下变频器的引入可以针对特高频RFID电子标签进行测试。

Description

一种特高频段RFID 测试系统
技术领域
本发明涉及电子标签技术领域,特别是关于一种特高频段RFID测试系统。 
背景技术
RFID是射频识别技术的英文(Radio Frequency Identification,RFID)的缩写,又称电子标签。射频识别技术是20世纪90年代开始兴起的一种自动识别技术,利用射频信号通过空间耦合(交变磁场或电磁场)实现无接触信息传递并通过所传递的信息达到识别目的。 
射频识别技术通过自动识别资产并采集数据,为生产和管理提供准确实时的信息,从而达到简化流程提高效率的目的。RFID标签可支持快速读写、非可视识别、移动识别、多目标识别、地位及长期跟踪管理,在物流管理、防伪、交通信息化、工业自动化中都有重要的应用。目前,我国已经把RFID技术作为物联网采集管控系统的主要数据采集方式之一。RFID在资产追踪、管理和维护方面具有不可替代的价值,众多领域已经拥有相对成熟的RFID应用,近些年随着RFID技术的不断创新,更多的应用被实现,RFID的追踪功能正在扩大到越来越多的资产类型中。 
RFID技术发展迅速,应用领域越来越多,粘附于产品表面的标签已经广泛应用。但在不同领域的应用中,有些物品材质对RFID标签的影响会比较大。比如在很多应用中,RFID标签需要贴附在金属物体表面,但对于表面镀层的金属却存在识别力不强或性能减弱的问题。 
这是因为,RFID标签应用于金属表面时,由于电磁波会被金属反射,其阻抗匹配,辐射效率和辐射方向都会发生改变,从而导致普通电子标签的性能变差,读写距离变短,甚至在金属表面无法被正确识别。 
柔性抗金属标签是采用特高频射频识别技术为适配曲面金属材质物品而设计的RFID电子标签,在电力计量器具(尤其是互感器)检定、配送管理、资产管理等方面应用前景广泛,为满足柔性抗金属标签的研发和生产,需要设计或引入一种针对此类标签的可靠性测试系统。 
根据需求,测试内容一般包括对读写器的接口协议、数据速率、工作温度、工作湿度、 读写距离、振动、冲击、碰撞、安全性、电磁兼容性等关键项目进行测试,同时对电子标签的工作频率、空中接口协议、调制方式、存储容量、工作环境、抗射线、抗交变电磁场、抗冲击、机械振动、自由跌落、抗静电等关键项目进行测试;并在实际应用环境下进行读写器和标签的互操作测试,进一步验证系统的运行效果。 
标签的可靠性测试主要为一致性测试,射频测试是最重要的测试内容,如射频包络测试、反应时间测试以及不同调制参数和编码方式下的数据读写等,以验证RFID标签的射频性能是否符合标准。芯片设计的影响、制造工艺的影响或者为不同类别的产品设计不同的天线,都会导致RFID标签的射频性能发生变化,因此在研发和生产过程中必须对该产品的射频性能进行测试,以保证其射频指标符合RFID射频标准的要求。 
基于主机的测试系统适用于低频RFID标签,采用矢量信号发生器和矢量信号分析仪作为射频仪器,采用嵌入式控制器作为指令发生器和应答分析仪。 
柔性抗金属标签符合特高频RFID技术标准,需要在应答器和标签之间建立微秒级实时通讯,现有的技术不能满足特高频RFID标签的通信频率。 
发明内容
为了解决现有技术中无法对特高频RFID电子标签进行测试的问题,提出了一种特高频段RFID测试系统,通过FPGA芯片和多个上变频器和下变频器的引入可以针对特高频R FID电子标签进行测试。 
本发明实施例提供了一种特高频段RFID测试系统,包括控制器,可重配I/O单元,FPGA芯片,第一上变频器,数字/模拟转换器,第二上变频器,第一下变频器,模拟/数字转换器,第二下变频器; 
所述控制器用于生成测试内容,并接收所述FPGA芯片的通信数据进行分析; 
所述可重配I/O单元用于所述控制器与所述FPGA芯片之间的通信连接; 
所述FPGA芯片用于根据所述测试内容生成测试指令,与特高频段电子标签进行通信; 
所述第一上变频器用于将低频的测试指令转换为中频数字信号; 
所述数字/模拟转换器将所述中频数字信号转换为模拟信号; 
所述第二上变频器将所述模拟信号转换为发向所述特高频段电子标签的特高频射频信号; 
所述第二下变频器将接收到的所述特高频段电子标签发送的特高频应答信号转换为中频应答信号; 
所述模拟/数字转换器将所述中频应答信号转换为中频数字信号; 
所述第一下变频器将所述中高频数字信号转换为低频数字信号,并将所述低频数字信号发送给所述FPGA芯片。 
根据本发明实施例所述的一种特高频段RFID测试系统的一个进一步的方面,所述FPGA芯片中进一步包括调制器,所述调制器进一步包括数据输入模块,载波产生模块,幅度调制模块,单边带滤波器,选择器,输出模块; 
其中所述数据输入模块与所述载波产生模块分别与所述幅度调制模块相连接,所述幅度调制模块与所述单边带滤波器连接,所述幅度调制模块与所述单边带滤波器分别与所述选择器相连接,所述选择器与所述输出模块相连接; 
所述数据输入模块接收所述测试指令,所述载波产生模块产生调制所需的正弦载波,所述幅度调制模块将所述测试命令和所述正弦载波进行运算,得到调制信号;所述单边带滤波器将所述幅度调制模块输出的调制信号进行单边滤波,通过所述选择器的选择,所述输出模块将所述调制信号或者所述单边滤波后的调制信号进行输出。 
根据本发明实施例所述的一种特高频段RFID测试系统的再一个进一步的方面,所述FPGA芯片中进一步包括解调器,所述解调器进一步包括信号输入模块,信号处理模块,比较器,解调判断模块,输出模块; 
所述信号输入模块接收所述第一下变频器107发送的中频数字信号,所述信号处理模块对所述中频数字信号进行处理,所述比较器获得所述处理后的中频数字信号的相位信息输出给所述控制器进行分析,所述解调判断模块判断所述处理后的中频数字信号的解调方式,并对所述处理后的中频数字信号进行解调,得到解调后的中频数字信号,通过所述输出模块将所述解调后的中频数字信号输出。 
通过本发明实施例的系统,通过FPGA芯片和多个上变频器和下变频器的引入可以针对特高频RFID电子标签进行测试,并且不需要依赖与额外的读写设备进行测试,实现了使用同一系统就能够对不同标准的RFID标签进行测试。 
附图说明
为了更清楚地说明本发明实施例中的技术方案,下面将对实施例描述中所需要使用的附图作简单地介绍,显而易见地,下面描述中的附图仅仅是本发明的一些实施例,对于本领域普通技术人员来讲,在不付出创造性劳动的前提下,还可以根据这些附图获得其他的附图。在附图中: 
图1所示为本发明实施例一种特高频段RFID测试系统的结构示意图; 
图2所示为本发明实施例FPGA芯片中调制器的结构示意图; 
图3所示为本发明实施例FPGA芯片中解调器的结构示意图。 
具体实施方式
为使本发明实施例的目的、技术方案和优点更加清楚明白,下面结合附图对本发明实施例做进一步详细说明。在此,本发明的示意性实施例及其说明用于解释本发明,但并不作为对本发明的限定。 
如图1所示为本发明实施例一种特高频段RFID测试系统的结构示意图。 
包括控制器101,可重配I/O单元102,FPGA芯片103,第一上变频器104,数字/模拟转换器(DAC)105,第二上变频器106,第一下变频器107,模拟/数字转换器(ADC)108,第二下变频器109。 
所述控制器101用于生成测试内容,并接收所述FPGA芯片103的通信数据进行分析; 
所述可重配I/O单元102用于所述控制器101与所述FPGA芯片103之间的通信连接; 
所述FPGA芯片103用于根据所述测试内容生成测试指令,与特高频段电子标签进行通信;FPGA芯片输出的数据信号频率通常为几十至几百KHz。 
所述第一上变频器104用于将低频的测试指令转换为中频数字信号;所述中频数字信号的频率可以为20MHz; 
所述数字/模拟转换器(DAC)105将所述中频数字信号转换为模拟信号; 
所述第二上变频器106将所述模拟信号转换为发向所述特高频段电子标签的特高频射频信号;所述特高频射频信号的频率可以为800MHz至1GHz。 
所述第二下变频器109将接收到的所述特高频段电子标签发送的特高频应答信号转换为中频应答信号;所述特高频射频信号的频率可以为800MHz至1GHz。 
所述模拟/数字转换器(ADC)108将所述中频应答信号转换为中频数字信号;所述特高频射频信号的频率可以为800MHz至1GHz。 
所述第一下变频器107将所述中频数字信号转换为低频数字信号,并将所述低频数字信号发送给所述FPGA芯片103。所述低频数字信号的频率通常为几十至几百KHz。 
根据本发明的一个实施例,所述FPGA芯片103中进一步包括调制器,所述调制器进一步包括数据输入模块,载波产生模块,幅度调制模块,单边带滤波器,选择器,输出模块; 
其中所述数据输入模块与所述载波产生模块分别与所述幅度调制模块相连接,所述幅度调制模块与所述单边带滤波器连接,所述幅度调制模块与所述单边带滤波器分别与所述 选择器相连接,所述选择器与所述输出模块相连接; 
所述数据输入模块接收所述测试指令,所述载波产生模块产生调制所需的正弦载波,所述幅度调制模块将所述测试命令和所述正弦载波进行运算,得到调制信号;所述单边带滤波器将所述幅度调制模块输出的调制信号进行单边滤波,通过所述选择器的选择,所述输出模块将所述调制信号或者所述单边滤波后的调制信号进行输出。 
根据本发明的一个实施例,所述FPGA芯片103中进一步包括解调器,所述解调器进一步包括信号输入模块,信号处理模块,比较器,解调判断模块,输出模块; 
所述信号输入模块接收所述第一下变频器107发送的中频数字信号,所述信号处理模块对所述中频数字信号进行处理,将输入的同相和正交(IQ:Inphase and quadrature)信号变为绝对值,利用所述比较器得到两路正交IQ信号的相位信息,供控制器分析使用,所述解调判断模块判断所述处理后的中频数字信号的解调方式,并对所述处理后的中频数字信号进行解调,得到解调后的中频数字信号,通过所述输出模块将所述解调后的中频数字信号输出。 
根据本发明的一个实施例,所述信号处理模块进一步包括2个平方器,分别将输入的两路正交IQ信号取平方变为绝对值。 
通过本发明实施例的系统,通过FPGA芯片和多个上变频器和下变频器的引入可以针对特高频RFID电子标签进行测试,并且不需要依赖与额外的读写设备进行测试,实现了使用同一系统就能够对不同标准的RFID标签进行测试。 
用户通过在控制器中的选项输入,可以针对不同协议的RFID电子标签进行测试,FPGA芯片根据控制器的选项和芯片中预先存储的配置参数生成适应于特定协议的测试指令,所述配置参数包括不同标准的RFID电子标签,及其调制方式、调制参数以及编码方式,实现从物理层到协议层的各种测试,还可以扩展支持自定义的指令集,从而支持不同厂商生产的RFID产品。 
以EPC Class 1Gen 2协议为例,整个实时通讯(Inventory)过程在1.8毫秒内即全部完成,其中包含了3条指令以及3条应答,即控制器选择测试内容,FPGA芯片生成Q uery(指令),通过第一及第二上变频器提高测试指令的频率,通过射频天线发射到特高频电子标签;所述特高频电子标签反馈应答(RN16,16位随机数);系统通过射频天线接收所述应答,并通过第一及第二下变频器将所述特高频的应答降频到中频,然后通过FPG A芯片针对该应答生成ACK(指令),在该ACK中包括FPGA芯片解码得到的16位随机数,然后通过第一及第二上变频器将所述ACK升频到特高频后通过射频天线发射出去;所述特 高频电子标签接收到ACK后,验证16位随机数是否正确,然后返回PC+EPC+CRC16(应答);系统接收到上述应答后,通过第一及第二下变频器将特高频的应答降低到中频,然后通过FPGA芯片解码所述应答和产生相应的测试指令ReqRN(指令),通过第一及第二上变频器将所述指令通过射频天线发送给特高频电子标签;所述特高频电子标签接收到所述指令后,产生Handle(应答)反馈给系统。在此期间,FPGA芯片进行通信过程中的数据和参数均通过可重配I/O单元发送给所述控制器进行分析。 
根据其标准,ACK指令中必须正确包含前一条应答中的16位随机数,且反应时间T2应在3-20Tpri之内(约几微秒到几十微秒,例如4.5微秒),否则通讯将失败,因此采用计算机等方式预先生成指令的方式无法完成实时通讯,测试系统必须具有在极短的时间内实时生成指令的能力。采用了板载FPGA芯片以及多个上变频器和下变频器的结合,可以超高速实时处理能力,在标准规定的时间内,完成了应答的解调、解码、随机数提取、指令的编码、随机数嵌入、调制的全过程。 
如图2所示为本发明实施例FPGA芯片中调制器的结构示意图。 
图中包括数据输入模块201,载波产生模块202,幅度调制模块203,单边带滤波器204,选择器205,输出模块206。 
所述数据输入模块201接收前级功能模块输出的测试指令,即当前测试内容所需的测试参数、测试命令和通信流程等都需要通过该调制器的调制生成通信信号与特高频电子标签通信,所述载波产生模块202产生调制所需的正弦载波,所述幅度调制模块203将所述测试命令和所述正弦载波进行运算,得到调制信号;所述单边带滤波器204将所述幅度调制模块输出的调制信号进行单边滤波,通过所述选择器205的选择,所述输出模块将所述调制信号或者所述单边滤波后的调制信号进行输出。 
如图3所示为本发明实施例FPGA芯片中解调器的结构示意图。 
包括信号输入模块301,信号处理模块302,比较器303,解调判断模块304,输出模块305。 
所述信号输入模块301接收所述第一下变频器发送的中频数字信号,该中频数字信号是由待测的特高频电子标签发出的应答信号通过降频得到的,所述信号处理模块302对所述中频数字信号进行处理,在本例中通过两个平方器对所述中频数字信号(IQ信号)进行处理,获得该IQ信号的绝对值,所述比较器303分别对输出结果进行比较,得到IQ信号的相位信息并反馈给控制器进行分析,所述解调判断模块304判断所述处理后的中频数字信号的解调方式,调用相应的协议或者参数对所述处理后的中频数字信号进行解调,得到 解调后的中频数字信号,通过所述输出模块305将所述解调后的中频数字信号输出到后级功能模块进行处理,所述的后级处理可以包括对应答信息的相应,例如前述的ACK等通信流程中的响应。 
通过本发明实施例的系统,通过FPGA芯片和多个上变频器和下变频器的引入可以针对特高频RFID电子标签进行测试,并且不需要依赖与额外的读写设备进行测试,实现了使用同一系统就能够对不同标准的RFID标签进行测试。 
以上所述的具体实施例,对本发明的目的、技术方案和有益效果进行了进一步详细说明,所应理解的是,以上所述仅为本发明的具体实施例而已,并不用于限定本发明的保护范围,凡在本发明的精神和原则之内,所做的任何修改、等同替换、改进等,均应包含在本发明的保护范围之内。 

Claims (3)

1.一种特高频段RFID测试系统,其特征在于,
包括控制器,可重配I/O单元,FPGA芯片,第一上变频器,数字/模拟转换器,第二上变频器,第一下变频器,模拟/数字转换器,第二下变频器;
所述控制器用于生成测试内容,并接收所述FPGA芯片的通信数据进行分析;
所述可重配I/O单元用于所述控制器与所述FPGA芯片之间的通信连接;
所述FPGA芯片用于根据所述测试内容生成测试指令,与特高频段电子标签进行通信;
所述第一上变频器用于将低频的测试指令转换为中频数字信号;
所述数字/模拟转换器将所述中频数字信号转换为模拟信号;
所述第二上变频器将所述模拟信号转换为发向所述特高频段电子标签的特高频射频信号;
所述第二下变频器将接收到的所述特高频段电子标签发送的特高频应答信号转换为中频应答信号;
所述模拟/数字转换器将所述中频应答信号转换为中频数字信号;
所述第一下变频器将所述中高频数字信号转换为低频数字信号,并将所述低频数字信号发送给所述FPGA芯片。
2.根据权利要求1所述的一种特高频段RFID测试系统,其特征在于,所述FPGA芯片中进一步包括调制器,所述调制器进一步包括数据输入模块,载波产生模块,幅度调制模块,单边带滤波器,选择器,输出模块;
其中所述数据输入模块与所述载波产生模块分别与所述幅度调制模块相连接,所述幅度调制模块与所述单边带滤波器连接,所述幅度调制模块与所述单边带滤波器分别与所述选择器相连接,所述选择器与所述输出模块相连接;
所述数据输入模块接收所述测试指令,所述载波产生模块产生调制所需的正弦载波,所述幅度调制模块将所述测试命令和所述正弦载波进行运算,得到调制信号;所述单边带滤波器将所述幅度调制模块输出的调制信号进行单边滤波,通过所述选择器的选择,所述输出模块将所述调制信号或者所述单边滤波后的调制信号进行输出。
3.根据权利要求1所述的一种特高频段RFID测试系统,其特征在于,所述FPGA芯片中进一步包括解调器,所述解调器进一步包括信号输入模块,信号处理模块,比较器,解调判断模块,输出模块;
所述信号输入模块接收所述第一下变频器发送的中频数字信号,所述信号处理模块对所述中频数字信号进行处理,所述比较器获得所述处理后的中频数字信号的相位信息输出给所述控制器进行分析,所述解调判断模块判断所述处理后的中频数字信号的解调方式,并对所述处理后的中频数字信号进行解调,得到解调后的中频数字信号,通过所述输出模块将所述解调后的中频数字信号输出。
CN201410673755.8A 2014-11-21 2014-11-21 一种特高频段rfid 测试系统 Pending CN104360199A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201410673755.8A CN104360199A (zh) 2014-11-21 2014-11-21 一种特高频段rfid 测试系统

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201410673755.8A CN104360199A (zh) 2014-11-21 2014-11-21 一种特高频段rfid 测试系统

Publications (1)

Publication Number Publication Date
CN104360199A true CN104360199A (zh) 2015-02-18

Family

ID=52527481

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201410673755.8A Pending CN104360199A (zh) 2014-11-21 2014-11-21 一种特高频段rfid 测试系统

Country Status (1)

Country Link
CN (1) CN104360199A (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105158579A (zh) * 2015-08-31 2015-12-16 四川长虹电器股份有限公司 硅调谐器rf filter自动检测的方法
CN107833593A (zh) * 2017-11-15 2018-03-23 中京复电(上海)电子科技有限公司 一种无源uhf rfid芯片存储容量的测试方法
CN108010557A (zh) * 2017-11-15 2018-05-08 中京复电(上海)电子科技有限公司 无源uhf rfid芯片存储擦写次数的测试方法
CN108828430A (zh) * 2018-06-01 2018-11-16 北京智芯微电子科技有限公司 超高频rfid标签芯片的多同测可靠性的测试系统及方法
CN111209760A (zh) * 2020-03-04 2020-05-29 中国电力科学研究院有限公司 一种电子标签安全防护测试方法及测试系统
CN111273156A (zh) * 2020-02-24 2020-06-12 江苏传艺科技股份有限公司 GaN毫米波功率放大器芯片用在线测试系统
CN111884727A (zh) * 2020-07-15 2020-11-03 杭州电子科技大学 一种基于数字映射的高速光子数模转换方法及系统

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN201259674Y (zh) * 2008-10-09 2009-06-17 上海聚星仪器有限公司 射频识别综合测试仪器
CN102156850A (zh) * 2011-04-22 2011-08-17 湖南大学 一种超高频射频识别入口盲点测试系统与概率预测方法
CN102539970A (zh) * 2012-01-04 2012-07-04 华北电网有限公司计量中心 Rfid设备测试方法及系统
CN202513936U (zh) * 2012-01-04 2012-10-31 华北电网有限公司计量中心 射频标签的测试装置
CN204302407U (zh) * 2014-11-21 2015-04-29 国家电网公司 一种特高频段rfid测试系统

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN201259674Y (zh) * 2008-10-09 2009-06-17 上海聚星仪器有限公司 射频识别综合测试仪器
CN102156850A (zh) * 2011-04-22 2011-08-17 湖南大学 一种超高频射频识别入口盲点测试系统与概率预测方法
CN102539970A (zh) * 2012-01-04 2012-07-04 华北电网有限公司计量中心 Rfid设备测试方法及系统
CN202513936U (zh) * 2012-01-04 2012-10-31 华北电网有限公司计量中心 射频标签的测试装置
CN204302407U (zh) * 2014-11-21 2015-04-29 国家电网公司 一种特高频段rfid测试系统

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105158579A (zh) * 2015-08-31 2015-12-16 四川长虹电器股份有限公司 硅调谐器rf filter自动检测的方法
CN105158579B (zh) * 2015-08-31 2018-08-14 四川长虹电器股份有限公司 硅调谐器rf filter自动检测的方法
CN107833593A (zh) * 2017-11-15 2018-03-23 中京复电(上海)电子科技有限公司 一种无源uhf rfid芯片存储容量的测试方法
CN108010557A (zh) * 2017-11-15 2018-05-08 中京复电(上海)电子科技有限公司 无源uhf rfid芯片存储擦写次数的测试方法
CN108828430A (zh) * 2018-06-01 2018-11-16 北京智芯微电子科技有限公司 超高频rfid标签芯片的多同测可靠性的测试系统及方法
CN108828430B (zh) * 2018-06-01 2020-09-11 北京智芯微电子科技有限公司 超高频rfid标签芯片的多同测可靠性的测试系统及方法
CN111273156A (zh) * 2020-02-24 2020-06-12 江苏传艺科技股份有限公司 GaN毫米波功率放大器芯片用在线测试系统
CN111273156B (zh) * 2020-02-24 2022-01-11 江苏传艺科技股份有限公司 GaN毫米波功率放大器芯片用在线测试系统
CN111209760A (zh) * 2020-03-04 2020-05-29 中国电力科学研究院有限公司 一种电子标签安全防护测试方法及测试系统
CN111209760B (zh) * 2020-03-04 2024-03-15 中国电力科学研究院有限公司 一种电子标签安全防护测试方法及测试系统
CN111884727A (zh) * 2020-07-15 2020-11-03 杭州电子科技大学 一种基于数字映射的高速光子数模转换方法及系统
CN111884727B (zh) * 2020-07-15 2021-11-16 杭州电子科技大学 一种基于数字映射的高速光子数模转换方法及系统

Similar Documents

Publication Publication Date Title
CN104360199A (zh) 一种特高频段rfid 测试系统
CN104471872B (zh) 用于无线设备的传输装置
EP1896863B1 (en) Rfid communication systems and methods
CN102539970A (zh) Rfid设备测试方法及系统
CN101305381A (zh) 支付卡信号表征方法及电路
CN104091184B (zh) 电子标签检测方法及系统
US10380474B2 (en) Method for managing the operation of an object that is able to contactlessly communicate with a reader
CN101848015A (zh) 通信装置、通信方法
CN101576964A (zh) 变频工作的射频识别读写器、无线射频识别系统及方法
CN103714375B (zh) 有源标签及其射频前端芯片
CN202513936U (zh) 射频标签的测试装置
CN103053118A (zh) 用于射频识别与分组无线电通信的混合体系结构
CN204302407U (zh) 一种特高频段rfid测试系统
CN102542224A (zh) 非接触数据存储设备的检测
Stark et al. How to guarantee phase-synchronicity in active load modulation for NFC and proximity
CN104050561A (zh) 一种基于rfid的金融支付系统
CN100547605C (zh) 用于在rfid或远程传感器系统中识别功能状态的方法和装置
CN101763498A (zh) 一种无源超高频射频识别的区域控制电路
Chen et al. A simple NFC parameters measurement method based on ISO/IEC 14443 standard
Li et al. Analysis and simulation of UHF RFID system
CN103616577A (zh) 一种射频电子标签一致性的检测方法
Bilginer et al. Near field communication
CN203276293U (zh) 一种试管智能定位管理装置
CN102915459B (zh) 射频识别标签及其读写方法
CN203838734U (zh) 手持式物联网终端

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20150218

WD01 Invention patent application deemed withdrawn after publication