CN104183539A - Semiconductor device manufacturing method - Google Patents

Semiconductor device manufacturing method Download PDF

Info

Publication number
CN104183539A
CN104183539A CN201310190232.3A CN201310190232A CN104183539A CN 104183539 A CN104183539 A CN 104183539A CN 201310190232 A CN201310190232 A CN 201310190232A CN 104183539 A CN104183539 A CN 104183539A
Authority
CN
China
Prior art keywords
layer
copper metal
dielectric
interconnect structure
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201310190232.3A
Other languages
Chinese (zh)
Inventor
赵简
曹轶宾
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Manufacturing International Shanghai Corp
Original Assignee
Semiconductor Manufacturing International Shanghai Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Manufacturing International Shanghai Corp filed Critical Semiconductor Manufacturing International Shanghai Corp
Priority to CN201310190232.3A priority Critical patent/CN104183539A/en
Publication of CN104183539A publication Critical patent/CN104183539A/en
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

The invention provides a semiconductor device manufacturing method. The method comprises steps: a semiconductor substrate is provided; an etching stop layer, a low k dielectric layer, a buffer layer and a hard mask layer are sequentially formed on the semiconductor substrate; a copper interconnection structure is formed in the low k dielectric layer; the etching stop layer exposed by the copper interconnection structure is removed; an etching post processing process is implemented in three steps; and a copper layer is formed in the copper interconnection structure. According to the method, residues and impurities generated during the etching process needed when dual Damascus technology is implemented can be effectively removed, intrinsic dielectric constants of the low k dielectric layer are recovered, loss of lower-layer copper interconnection metal communicated via the copper interconnection structure can be reduced, the copper interconnection structure has small contact resistance, and an open circuit phenomenon can be prevented from happening to the copper interconnection structure.

Description

A kind of manufacture method of semiconductor device
Technical field
The present invention relates to semiconductor fabrication process, in particular to a kind of method of improving dual damascene process.
Background technology
In the back-end process (BEOL) of semiconductor device, conventionally adopt dual damascene process to form the copper metal interconnecting layer in semiconductor device, Figure 1A-Fig. 1 E shows a kind of dual damascene process process.
First, as shown in Figure 1A, provide Semiconductor substrate 100, adopt chemical vapor deposition method in Semiconductor substrate 100, to form successively etching stopping layer 101, low k dielectric 102, resilient coating 103 and hard mask layer 104.
In Semiconductor substrate 100, be formed with front end device, in order to simplify, in legend, do not give and illustrating.Described front end device refers to the device forming before BEOL, the concrete structure of front end device is not limited at this.Conventionally adopt super low k dielectric to form low k dielectric 102, described super low k dielectric refers to that dielectric constant (k value) is less than 2 dielectric material.Resilient coating 103 is by the OMCTS(prestox cyclisation tetrasiloxane stacking gradually from bottom to top) layer 103a and TEOS(tetraethoxysilane) layer 103b formation, when the effect of TEOS layer 103b is the copper-connection metal of filling in follow-up grinding, avoid mechanical stress to cause damage to the porous structure of super low k dielectric, the effect of OMCTS layer 103a is to increase the adhesive force between the two as the transition material layer between super low k dielectric and TEOS.Hard mask layer 104 is made up of the metal hard mask layer 104a stacking gradually from bottom to top and oxide hardmask layer 104b, and the structure of this double-deck hard mask layer can ensure the craft precision of Dual graphing or multiple graphics.
Then, as shown in Figure 1B, in hard mask layer 104, form the first opening 105, to expose the resilient coating 103 of below.Described the first opening 105 is as the pattern of the groove in copper metal interconnect structure, and it can comprise multiple figures with different characteristic size.
Then, as shown in Figure 1 C, form the second opening 106 in resilient coating 103 and low k dielectric 102, described the second opening 106 is as the pattern of the through hole in copper metal interconnect structure, and it also can comprise multiple figures with different characteristic size.
Then, as shown in Fig. 1 D, taking hard mask layer 104 as mask, carrying out integrated etching (All-in-one Etch) technique etch buffer layers 103 and low k dielectric 102(is synchronous etch buffer layers 103 and low k dielectric 102), to form copper metal interconnect structure 107 in low k dielectric 102.
Then,, as shown in Fig. 1 E, the etching stopping layer 101 that adopts dry method etch technology etching to expose by copper metal interconnect structure 107, so that copper metal interconnect structure 107 is communicated with the front end device being formed in Semiconductor substrate 100.Then, fill copper metal in copper metal interconnect structure 107 before, carry out etching last handling process, the residue and the impurity that are produced to remove aforementioned etching process, the deposition quality of the two while ensureing subsequent deposition copper metal diffusion barrier layer and copper metal seed layer.
Described etching last handling process is that a step completes, and those skilled in the art adopt conventional wet clean process to implement described etching reprocessing conventionally.The cleaning fluid of described wet-cleaned is the EKC that du pont company produces, and it belongs to a kind of alkaline matter, with regard to its functional component, comprises oxidant, etchant, chelating agent, pH value conditioning agent, corrosion inhibiter and water.There is following defect in the etching last handling process that a this step completes: first, the advantage of EKC is character gentleness, while removing residue that aforementioned etching process produces and impurity, substantially can not cause damage to low k dielectric 102, but, EKC is not desirable especially to the removal effect of the polymer in described residue, cause thus the throughhole portions in copper metal interconnect structure 107 to there is higher contact resistance Rc after filling copper-connection metal, cause the decline of device interconnection performance, the second, after implementing EKC cleaning, adopt the method for deionized water rinsing and oven dry still to have the residual problem of EKC, the 3rd, if after implementing EKC cleaning, adopt the hydrofluoric acid of DHF(dilution) enforcement secondary cleaning, can solve the undesirable problem of removal effect of the polymer in above-mentioned residue, the damaged portion that aforementioned etching process can be caused low k dielectric 102 is removed to recover the free dielectric constant of low k dielectric 102 simultaneously, but this can not solve the residual problem of EKC, residual EKC and residual DHF also can produce synergy, copper-connection metal in the front end device being communicated with by copper metal interconnect structure 107 is caused to more serious damage, for example be greater than the loss of the copper-connection metal of 10nm thickness, and then cause the interconnection open of the throughhole portions in potential copper metal interconnect structure 107.
Therefore, a kind of method need to be proposed, to address the above problem.
Summary of the invention
For the deficiencies in the prior art, the invention provides a kind of manufacture method of semiconductor device, comprising: Semiconductor substrate is provided, in described Semiconductor substrate, forms successively etching stopping layer, low k dielectric, resilient coating and hard mask layer; In described low k dielectric, form copper metal interconnect structure; Remove the etching stopping layer exposing by described copper metal interconnect structure; Divide three steps to implement etching last handling processes.
Further, described etching reprocessing comprises the step of described Semiconductor substrate being carried out to the first wet-cleaned, and the cleaning fluid of employing is the hydrofluoric acid of dilution.
Further, after described the first wet-cleaned, described Semiconductor substrate is carried out to the second wet-cleaned, the cleaning fluid of employing is EKC.
Further, after described the second wet-cleaned, described Semiconductor substrate is placed in to centrifuge and utilizes the centrifugal force that High Rotation Speed produces to remove residual EKC and the hydrofluoric acid of dilution, be dried processing by Semiconductor substrate described in deionized water rinsing and to it subsequently.
Further, the concentration of the hydrofluoric acid of described dilution is 0.05-0.5%.
Further, form described copper metal interconnect structure and comprise: in described hard mask layer, form the first opening, to expose described resilient coating; In described resilient coating and described low k dielectric, form the second opening; Taking described hard mask layer as mask, with resilient coating described in step etching and described low k dielectric, to form described copper metal interconnect structure in described low k dielectric.
Further, described the first opening is as the pattern of the groove in described copper metal interconnect structure, and described the second opening is as the pattern of the through hole in described copper metal interconnect structure.
Further, after described etching reprocessing, be also included in the step that forms copper metal layer in described copper metal interconnect structure.
Further, before forming described copper metal layer, be also included in the step that forms successively copper metal diffusion barrier layer and copper metal seed layer on the bottom of described copper metal interconnect structure and sidewall.
Further, described resilient coating is made up of stacked from bottom to top prestox cyclisation tetrasiloxane layer and teos layer.
Further, described hard mask layer is made up of stacked from bottom to top metal hard mask layer and oxide hardmask layer.
Further, the constituent material of described metal hard mask layer is TiN, BN, AlN or its combination.
Further, the constituent material of described oxide hardmask layer comprises SiO 2or SiON, and there is good etching selectivity with respect to the constituent material of described metal hard mask layer.
Further, adopt dry method etch technology to implement the removal of described etching stopping layer.
According to the present invention, can effectively remove and implement residue and the impurity that the required etching process of dual damascene process produces, recover the free dielectric constant of low k dielectric, reduce the loss of the lower floor's copper-connection metal being communicated with by copper metal interconnect structure, make copper metal interconnect structure there is less contact resistance, avoid copper metal interconnect structure to occur open circuit phenomenon.
Brief description of the drawings
Following accompanying drawing of the present invention is used for understanding the present invention in this as a part of the present invention.Shown in the drawings of embodiments of the invention and description thereof, be used for explaining principle of the present invention.
In accompanying drawing:
The schematic cross sectional view of the device that Figure 1A-Fig. 1 E obtains respectively for the step of implementing successively according to existing exemplary dual damascene process;
The schematic cross sectional view of the device that Fig. 2 A-Fig. 2 F obtains respectively for the step that method is implemented successively according to an exemplary embodiment of the present invention;
Fig. 3 is the flow chart of method improvement dual damascene process according to an exemplary embodiment of the present invention.
Embodiment
In the following description, a large amount of concrete details have been provided to more thorough understanding of the invention is provided.But, it is obvious to the skilled person that the present invention can be implemented without one or more these details.In other example, for fear of obscuring with the present invention, be not described for technical characterictics more well known in the art.
In order thoroughly to understand the present invention, will detailed step be proposed in following description, so that the method for the improvement dual damascene process that explaination the present invention proposes.Obviously, execution of the present invention is not limited to the specific details that the technical staff of semiconductor applications has the knack of.Preferred embodiment of the present invention is described in detail as follows, but except these are described in detail, the present invention can also have other execution modes.
Should be understood that, when using in this manual term " to comprise " and/or when " comprising ", it indicates and has described feature, entirety, step, operation, element and/or assembly, exists or additional one or more other features, entirety, step, operation, element, assembly and/or their combination but do not get rid of.
[exemplary embodiment]
With reference to Fig. 2 A-Fig. 2 F and Fig. 3, the detailed step of method improvement dual damascene process is according to an exemplary embodiment of the present invention described below.
With reference to Fig. 2 A-Fig. 2 F, wherein show the schematic cross sectional view of the device that method is implemented successively according to an exemplary embodiment of the present invention step obtains respectively.
First, as shown in Figure 2 A, provide Semiconductor substrate 200, adopt chemical vapor deposition method in Semiconductor substrate 200, to form successively etching stopping layer 201, low k dielectric 202, resilient coating 203 and hard mask layer 204.
In Semiconductor substrate 200, be formed with front end device, in order to simplify, in legend, do not give and illustrating.Described front end device refers to the device forming before BEOL, the concrete structure of front end device is not limited at this.
The preferred SiCN of material, SiC, SiN or the BN of etching stopping layer 201, it forming the etching stopping layer of upper copper metal interconnect structure when, can prevent that the copper in lower floor's copper metal interconnecting wires is for example diffused into, in the dielectric substance layer on upper strata (low k dielectric 202) as subsequent etch low k dielectric 202.
The constituent material of low k dielectric 202 can be selected from the common various low k value dielectric material in this area, include but not limited to silicate compound (the Hydrogen Silsesquioxane that k value is 2.5-2.9, referred to as HSQ), the k value methane-siliconic acid salt compound (Methyl Silsesquioxane is called for short MSQ) that is 2.2, the k value HOSP that is 2.8 tMthe SiLK that (advanced low-k materials of the mixture based on organic substance and Si oxide that Honeywell company manufactures) and k value are 2.65 tM(a kind of advanced low-k materials that Dow Chemical company manufactures) etc.Conventionally adopt super low k dielectric to form low k dielectric 202, described super low k dielectric refers to that dielectric constant (k value) is less than 2 dielectric material.
Resilient coating 203 comprises the OMCTS layer 203a and the TEOS layer 203b that stack gradually from bottom to top, when the effect of TEOS layer 203b is the copper-connection metal of filling in follow-up grinding, avoid mechanical stress to cause damage to the porous structure of super low k dielectric, the effect of OMCTS layer 203a is to increase the adhesive force between the two as the transition material layer between super low k dielectric and TEOS.
Hard mask layer 204 comprises the metal hard mask layer 204a and the oxide hardmask layer 204b that stack gradually from bottom to top, the structure of this double-deck hard mask layer can ensure the craft precision of Dual graphing or multiple graphics, ensure the degree of depth of whole groove figure of required formation and the consistency of side wall profile in hard mask layer 204, first the channel patterns with different characteristic size is formed in oxide hardmask layer 204b, the groove figure of making required formation taking oxide hardmask layer 204b as mask etch metal hard mask layer 204a in hard mask layer 204 again.The constituent material of metal hard mask layer 204a comprises that TiN, BN, AlN or its combine arbitrarily, preferably TiN; The constituent material of oxide hardmask layer 204b comprises SiO 2, SiON etc., and require its constituent material with respect to metal hard mask layer 204a to there is good etching selectivity.
Then, as shown in Figure 2 B, in hard mask layer 204, form the first opening 205, to expose the resilient coating 203 of below.Described the first opening 205 is as the pattern of the groove in copper metal interconnect structure, and it can comprise multiple figures with different characteristic size.
According to the situation of the figure of required formation, need twice or repeatedly implement the composition process of described channel patterns, each enforcement includes following steps: on oxide hardmask layer 204b, form successively ODL layer (organic dielectric layer), BARC layer (bottom antireflective coating) and PR layer (photoresist layer); PR layer is carried out to photoetching, development treatment, to form channel patterns in PR layer; Taking the PR layer of patterning as mask, etching BARC layer, ODL layer and oxide hardmask layer 204b form channel patterns in oxide hardmask layer 204b successively; Adopt the techniques such as ashing to remove PR layer, BARC layer and the ODL layer of patterning.Finally, taking the oxide hardmask layer 204b that form therein whole required channel patterns as mask, etching metal hard mask layer 204a, completes the making of the first opening 205.
Then, as shown in Figure 2 C, form the second opening 206 in resilient coating 203 and low k dielectric 202, described the second opening 206 is as the pattern of the through hole in copper metal interconnect structure, and it also can comprise multiple figures with different characteristic size.
According to the situation of the figure of required formation, need twice or repeatedly implement the composition process of described through-hole pattern, each enforcement includes following steps: in Semiconductor substrate 200, form successively ODL layer, BARC layer and PR layer, cover the first opening 205; PR layer is carried out to photoetching, development treatment, to form through-hole pattern in PR layer; Taking the PR layer of patterning as mask, etching BARC layer, ODL layer, resilient coating 203 and part low k dielectric 202 successively forms through-hole pattern in resilient coating 203 and low k dielectric 202; Adopt the techniques such as ashing to remove PR layer, BARC layer and the ODL layer of patterning.
Then, as shown in Figure 2 D, taking hard mask layer 204 as mask, carry out the synchronous etch buffer layers 203 of integrated etching technics and low k dielectric 202, to form copper metal interconnect structure 207 in low k dielectric 202, synchronously form groove and through hole in copper metal interconnect structure 207.Described integrated being etched in while exposing etching stopping layer 201 stops.
Then, as shown in Figure 2 E, remove the etching stopping layer 201 exposing by copper metal interconnect structure 207, so that copper metal interconnect structure 207 is communicated with the front end device being formed in Semiconductor substrate 200.In the present embodiment, adopt dry method etch technology to implement the removal of described etching stopping layer 201.Then, fill copper metal in copper metal interconnect structure 207 before, carry out an etching last handling process, the residue and the impurity that are produced to remove aforementioned etching process, the deposition quality of the two while ensureing subsequent deposition copper metal diffusion barrier layer and copper metal seed layer.
Described etching reprocessing divides three steps to implement: the first step, Semiconductor substrate 200 is carried out to the first wet-cleaned, and the cleaning fluid of employing is DHF, its concentration is 0.05-0.5%; Second step, carries out the second wet-cleaned to Semiconductor substrate 200, and the cleaning fluid of employing is EKC; The 3rd step, is placed in centrifuge by Semiconductor substrate 200 and utilizes the centrifugal force that High Rotation Speed produces to remove residual EKC and DHF, is dried processing subsequently by deionized water rinsing Semiconductor substrate 200 and to it.In above-mentioned etching last handling process, the first wet-cleaned can effectively be removed the polymer in the residue that aforementioned etching process produces, and the damaged portion that aforementioned etching process can be caused low k dielectric 102 is removed to recover the free dielectric constant of low k dielectric 102 simultaneously; The second wet-cleaned can further be removed residue and the impurity that aforementioned etching process produces; Residual EKC can be effectively removed in High Rotation Speed processing.
Then, as shown in Figure 2 F, in copper metal interconnect structure 207, form copper metal layer 208.Form the various suitable technology that copper metal layer 208 can adopt those skilled in the art to have the knack of, for example electroplating technology and the chemical mechanical milling tech of implementing subsequently.The object of implementing cmp is to make the surface of copper metal layer 208 concordant with the surface of hard mask layer 204.
Before forming copper metal layer 208, need on the bottom of copper metal interconnect structure 207 and sidewall, form successively copper metal diffusion barrier layer 209 and copper metal seed layer 210, copper metal diffusion barrier layer 209 can prevent that copper in copper metal layer 208 is to the diffusion in low k dielectric 202, and copper metal seed layer 210 can strengthen the tack between copper metal layer 208 and copper metal diffusion barrier layer 209.Form the various suitable technology that copper metal diffusion barrier layer 209 and copper metal seed layer 210 can adopt those skilled in the art to have the knack of, for example, adopt physical gas-phase deposition to form copper metal diffusion barrier layer 209, adopt sputtering technology or chemical vapor deposition method to form copper metal seed layer 210.The material of copper metal diffusion barrier layer 209 is metal, metal nitride or its combination, preferably Ta and the combination of TaN or the combination of Ti and TiN.
So far, complete the processing step that method is implemented according to an exemplary embodiment of the present invention, next, can complete by subsequent technique the making of whole semiconductor device.According to the present invention, can effectively remove and implement residue and the impurity that the required etching process of dual damascene process produces, recover the free dielectric constant of low k dielectric 102, reduce the loss of the lower floor's copper-connection metal being communicated with by copper metal interconnect structure 207, make copper metal interconnect structure 207 there is less contact resistance, avoid copper metal interconnect structure 207 to occur open circuit phenomenon.
With reference to Fig. 3, wherein show the flow chart of method improvement dual damascene process according to an exemplary embodiment of the present invention, for schematically illustrating the flow process of whole manufacturing process.
In step 301, Semiconductor substrate is provided, in Semiconductor substrate, form successively etching stopping layer, low k dielectric, resilient coating and hard mask layer;
In step 302, in low k dielectric, form copper metal interconnect structure;
In step 303, remove the etching stopping layer exposing by copper metal interconnect structure;
In step 304, point three steps are implemented etching last handling process;
In step 305, in copper metal interconnect structure, form copper metal layer.
The present invention is illustrated by above-described embodiment, but should be understood that, above-described embodiment is the object for giving an example and illustrating just, but not is intended to the present invention to be limited in described scope of embodiments.In addition it will be appreciated by persons skilled in the art that the present invention is not limited to above-described embodiment, can also make more kinds of variants and modifications according to instruction of the present invention, these variants and modifications all drop in the present invention's scope required for protection.Protection scope of the present invention is defined by the appended claims and equivalent scope thereof.

Claims (14)

1. a manufacture method for semiconductor device, comprising:
Semiconductor substrate is provided, in described Semiconductor substrate, forms successively etching stopping layer, low k dielectric, resilient coating and hard mask layer;
In described low k dielectric, form copper metal interconnect structure;
Remove the etching stopping layer exposing by described copper metal interconnect structure;
Divide three steps to implement etching last handling processes.
2. method according to claim 1, is characterized in that, described etching reprocessing comprises the step of described Semiconductor substrate being carried out to the first wet-cleaned, and the cleaning fluid of employing is the hydrofluoric acid of dilution.
3. method according to claim 2, is characterized in that, after described the first wet-cleaned, described Semiconductor substrate is carried out to the second wet-cleaned, and the cleaning fluid of employing is EKC.
4. method according to claim 3, it is characterized in that, after described the second wet-cleaned, described Semiconductor substrate is placed in to centrifuge and utilizes the centrifugal force that High Rotation Speed produces to remove residual EKC and the hydrofluoric acid of dilution, be dried processing by Semiconductor substrate described in deionized water rinsing and to it subsequently.
5. method according to claim 2, is characterized in that, the concentration of the hydrofluoric acid of described dilution is 0.05-0.5%.
6. method according to claim 1, is characterized in that, forms described copper metal interconnect structure and comprises: in described hard mask layer, form the first opening, to expose described resilient coating; In described resilient coating and described low k dielectric, form the second opening; Taking described hard mask layer as mask, with resilient coating described in step etching and described low k dielectric, to form described copper metal interconnect structure in described low k dielectric.
7. method according to claim 6, is characterized in that, described the first opening is as the pattern of the groove in described copper metal interconnect structure, and described the second opening is as the pattern of the through hole in described copper metal interconnect structure.
8. method according to claim 1, is characterized in that, after described etching reprocessing, is also included in the step that forms copper metal layer in described copper metal interconnect structure.
9. method according to claim 8, is characterized in that, before forming described copper metal layer, is also included in the step that forms successively copper metal diffusion barrier layer and copper metal seed layer on the bottom of described copper metal interconnect structure and sidewall.
10. method according to claim 1, is characterized in that, described resilient coating is made up of stacked from bottom to top prestox cyclisation tetrasiloxane layer and teos layer.
11. methods according to claim 1, is characterized in that, described hard mask layer is made up of stacked from bottom to top metal hard mask layer and oxide hardmask layer.
12. methods according to claim 11, is characterized in that, the constituent material of described metal hard mask layer is TiN, BN, AlN or its combination.
13. methods according to claim 12, is characterized in that, the constituent material of described oxide hardmask layer comprises SiO 2or SiON, and there is good etching selectivity with respect to the constituent material of described metal hard mask layer.
14. methods according to claim 1, is characterized in that, adopt dry method etch technology to implement the removal of described etching stopping layer.
CN201310190232.3A 2013-05-21 2013-05-21 Semiconductor device manufacturing method Pending CN104183539A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201310190232.3A CN104183539A (en) 2013-05-21 2013-05-21 Semiconductor device manufacturing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201310190232.3A CN104183539A (en) 2013-05-21 2013-05-21 Semiconductor device manufacturing method

Publications (1)

Publication Number Publication Date
CN104183539A true CN104183539A (en) 2014-12-03

Family

ID=51964484

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310190232.3A Pending CN104183539A (en) 2013-05-21 2013-05-21 Semiconductor device manufacturing method

Country Status (1)

Country Link
CN (1) CN104183539A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110797298A (en) * 2018-08-03 2020-02-14 群创光电股份有限公司 Electronic device and preparation method thereof
US11986853B2 (en) 2015-06-03 2024-05-21 SCREEN Holdings Co., Ltd. Substrate processing apparatus, film formation unit, substrate processing method and film formation method

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11986853B2 (en) 2015-06-03 2024-05-21 SCREEN Holdings Co., Ltd. Substrate processing apparatus, film formation unit, substrate processing method and film formation method
CN110797298A (en) * 2018-08-03 2020-02-14 群创光电股份有限公司 Electronic device and preparation method thereof
US11234330B2 (en) 2018-08-03 2022-01-25 Innolux Corporation Electronic device

Similar Documents

Publication Publication Date Title
US10522463B2 (en) Semiconductor structure
CN108074861B (en) Semiconductor structure and manufacturing method thereof
US20050260856A1 (en) Methods of fabricating a semiconductor device using an organic compound and fluoride-based buffered solution
CN104347476A (en) Semiconductor device and manufacture method thereof
CN106409751B (en) Method for forming semiconductor structure
CN106409752B (en) Method for forming semiconductor structure
CN106684031A (en) Manufacturing method of semiconductor structure
US8853095B1 (en) Hybrid hard mask for damascene and dual damascene
CN103943551A (en) Method for manufacturing semiconductor device
CN104241114B (en) A kind of manufacture method of semiconductor devices
CN104183539A (en) Semiconductor device manufacturing method
JP4677068B2 (en) Isolation method between conductive metal elements
CN111293074B (en) Semiconductor structure and forming method thereof
CN104733373A (en) Manufacturing method for semiconductor component
CN113363157A (en) Method for manufacturing semiconductor device
CN104051324A (en) Forming method of metal interconnection structure
JP4963815B2 (en) Cleaning method and semiconductor device manufacturing method
CN104183538A (en) Semiconductor device manufacturing method
CN104347487A (en) Manufacturing method of semiconductor device
CN104658967A (en) Semiconductor component and manufacturing method thereof
US10002785B2 (en) Air-gap assisted etch self-aligned dual Damascene
CN104681484B (en) A kind of manufacture method of semiconductor devices
US7015149B2 (en) Simplified dual damascene process
US6875688B1 (en) Method for reactive ion etch processing of a dual damascene structure
CN104681483A (en) Manufacturing method of semiconductor device

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
RJ01 Rejection of invention patent application after publication

Application publication date: 20141203

RJ01 Rejection of invention patent application after publication