CN104123187A - 硬件组件的i/o通道的灵活分配 - Google Patents

硬件组件的i/o通道的灵活分配 Download PDF

Info

Publication number
CN104123187A
CN104123187A CN201410070613.2A CN201410070613A CN104123187A CN 104123187 A CN104123187 A CN 104123187A CN 201410070613 A CN201410070613 A CN 201410070613A CN 104123187 A CN104123187 A CN 104123187A
Authority
CN
China
Prior art keywords
passage
subset
application program
gate array
programmable gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201410070613.2A
Other languages
English (en)
Other versions
CN104123187B (zh
Inventor
S·梅尔滕
M·施伦格
H·罗斯
F·梅尔滕斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Desbeth Co ltd
Original Assignee
Dspace Digital Signal Processing and Control Engineering GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Dspace Digital Signal Processing and Control Engineering GmbH filed Critical Dspace Digital Signal Processing and Control Engineering GmbH
Publication of CN104123187A publication Critical patent/CN104123187A/zh
Application granted granted Critical
Publication of CN104123187B publication Critical patent/CN104123187B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F9/00Arrangements for program control, e.g. control units
    • G06F9/06Arrangements for program control, e.g. control units using stored programs, i.e. using an internal store of processing equipment to receive or retain programs
    • G06F9/44Arrangements for executing specific programs
    • G06F9/455Emulation; Interpretation; Software simulation, e.g. virtualisation or emulation of application or operating system execution engines
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/76Architectures of general purpose stored program computers
    • G06F15/78Architectures of general purpose stored program computers comprising a single central processing unit
    • G06F15/7867Architectures of general purpose stored program computers comprising a single central processing unit with reconfigurable architecture
    • G06F15/7871Reconfiguration support, e.g. configuration loading, configuration switching, or hardware OS
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3308Design verification, e.g. functional simulation or model checking using simulation
    • G06F30/331Design verification, e.g. functional simulation or model checking using simulation with hardware acceleration, e.g. by using field programmable gate array [FPGA] or emulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/34Circuit design for reconfigurable circuits, e.g. field programmable gate arrays [FPGA] or programmable logic devices [PLD]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F8/00Arrangements for software engineering
    • G06F8/30Creation or generation of source code
    • G06F8/35Creation or generation of source code model driven

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Software Systems (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • Stored Programmes (AREA)

Abstract

本发明涉及一种用来创建用于测量、控制或调节系统的硬件组件(1)的软件的方法,所述系统包括处理器(4)、FPGA(3)和多个I/O通道(2),其中,所述I/O通道(2)连接到所述FPGA(3)上并且所述FPGA(3)经由通信接口(5)与处理器(4)连接,所述方法包括如下步骤:选择用于通过FPGA(3)操作的第一子集(9)的I/O通道(2);创建用于在所述FPGA(3)上执行的第一应用程序;选择用于通过处理器操作的第二子集的I/O通道;创建用于在处理器上执行的第二应用程序,其中,所述创建第一应用程序的步骤包括创建用于将第二子集的I/O通道与通信接口连接的代码。本发明还涉及一种用于运行这样的硬件组件的方法以及一种根据所述方法运行的硬件组件。

Description

硬件组件的I/O通道的灵活分配
技术领域
本发明涉及一种用来创建用于测量、控制或调节系统的硬件组件的软件的方法,所述测量、控制或调节系统包括处理器、现场可编程门阵列和多个I/O通道,其中,所述I/O通道连接到所述现场可编程门阵列上并且所述现场可编程门阵列经由通信接口与处理器连接。本发明同样涉及一种具有计算机执行的指令的计算机程序产品,该计算机程序产品在适合的数据处理装置中加载和执行之后执行上述方法的步骤;以及本发明还涉及一种具有可电子读取的控制信号的数字存储介质,所述可电子读取的控制信号能够与可编程的数据处理装置这样配合作用,使得在所述数据处理装置上执行上述方法。此外,本发明涉及一种用于运行这样的硬件组件的方法以及一种用于实施该方法的硬件组件。
背景技术
复杂、动态模型的实时仿真由于窄的时间边界条件本身对现代计算节点提出高要求。例如这样的模型在车辆硬件在环仿真(HiL)中应用,在此必须关闭快速调节回路。这例如是在汽缸内压传感器的仿真中的情况,这些汽缸内压传感器在消耗或废气降低时起到越来越大的作用。但是即使在具有高动态性的受控系统中、如例如在电机中,短的周期时间和小的等待时间也是绝对必要的。它们通过基于CPU的仿真在实际中几乎不再能够实现。
现场可编程门阵列(FPGA)可以在实时仿真中通过如下方式支持硬件组件,即,现场可编程门阵列承担模型的动态部分的运算。通过信号并行处理的高度灵活性和可能性可以通过使用FPGA也容易地满足硬的实时要求。FPGA一般可以用作用于CPU的硬件加速器。
所述硬件组件在现有技术中以两种运行方式使用。在第一运行方式中,将固定功能性加载到FPGA上,由此配置FPGA。通过该固定功能性在通信接口上提供具有固定功能范围的I/O通道,从而I/O通道可以被使用在处理器上的应用程序中。用户在该第一运行方式中仅仅以基于模型创建的处理器应用程序、例如以一个实时接口模块组来工作。应用程序完全在处理器上运行并且通过固定FPGA功能性来访问I/O。
在第二运行模式中,把由用户基于模型创建的FPGA代码加载到FPGA上,该代码在FPGA中使用I/O通道。在此例如涉及FPGA上的调节模型或FPGA中的I/O通道的预处理。处理器在这种运行方式中不访问I/O通道。用户在该运行方式中以基于模型创建的FPGA应用程序、例如以一个实时接口FPGA编程模块组来工作。在处理器上可以附加地执行处理器应用程序,该处理器应用程序可以与FPGA应用程序通信。不过处理器可以应用不具有固定功能范围和限定接口的I/O通道。
发明内容
因此由上述现有技术出发,本发明基础的任务在于,给出一种上述类型的方法,该方法能实现硬件组件的灵活应用,其中,FPGA和处理器在执行期间可以与I/O通道通信并且硬件组件的可用的I/O通道可以自由地分配到FPGA和处理器上。
按照本发明,该任务的解决通过独立权利要求的特征来实现。本发明有利的实施方案在从属权利要求中给出。
因此按照本发明,提出一种用来创建用于测量、控制或调节系统的硬件组件的软件的方法,所述测量、控制或调节系统包括处理器、现场可编程门阵列和多个I/O通道,其中,所述I/O通道连接到所述现场可编程门阵列上并且所述现场可编程门阵列经由通信接口与处理器连接,所述方法包括以下步骤:选择用于通过所述现场可编程门阵列操作的第一子集的I/O通道;创建用于在现场可编程门阵列上执行的第一应用程序;选择用于通过处理器操作的第二子集的I/O通道;创建用于在处理器上执行的第二应用程序,其中,所述创建第一应用程序的步骤包括创建用于将第二子集的I/O通道与通信接口连接的代码。
因此,本发明的基本构思在于,创建两个应用程序,一个在处理器上而一个在FPGA上,它们根据应用将I/O通道任意分配到两个应用程序上。在FPGA上的第一应用程序、此外也称为FPGA应用程序因此仅仅访问对于实现其任务所必需的I/O通道。其余的I/O通道经由通信接口在处理器中的第二应用程序、此外也称为处理器应用程序中提供。这能实现控制、测量或调节模型的经典的基于模型的创建与基于模型创建的FPGA模型的组合,所述基于模型创建的FPGA模型由于FPGA技术能实现用于调节模型的在亚微秒范围中的小的周期时间或者在硬件组件上用于测量应用的非常高效的信号处理。
在本方法中,可以按照需要分别选择第一和第二子集。备选地也可以进行第一或第二子集的隐含的选择,其方式为仅仅选择第一或第二子集而相应另外的子集是剩余I/O通道的数量。
第一或第二子集I/O通道的选择原理上可以在任意的时间点进行。例如可以在最初选择不仅第一而且第二子集I/O通道,并且紧接于此地进行第一和第二应用程序的创建。第一或第二子集I/O通道的选择也可以在原本创建相应的第一或第二应用程序之前进行,或者是用于创建第一或第二应用程序的相应步骤的子步骤。而且创建第一和第二应用程序的顺序原理上是任意的。I/O通道可以是模拟或者数字I/O通道。
在本发明的有利的实施方案中,所述创建用于将第二子集的I/O通道与通信接口连接的代码的步骤包括创建用于实施在第二子集的I/O通道中的至少一个I/O通道与通信接口之间的信号处理的代码。相应地例如可以通过在FPGA上的快速处理而实施在一个I/O通道上接收到的输入值的预处理,而进一步的分析步骤灵活地在处理器上实施。
在本发明的有利的实施方案中,该方法包括创建应用程序模型,其中,所述创建第一应用程序的步骤包括基于模型自动创建代码。模型化能实现简单的代码生成,由此短的研发周期是可能的。优选地使用功能块,以便基于模型在Matlab或Simulink中创建在FPGA中期望的功能性。进一步优选地将所必需的I/O通道引入到应用程序模型中并且与之前创建好的功能性相联系。
在本发明的有利的实施方案中,所述创建用于将第二子集的I/O通道与通信接口连接的代码的步骤包括自动创建用于连接如下I/O通道的代码,所述I/O通道是所述第二子集的I/O通道中的部分。因此用户可以让第二子集的I/O通道在模型化FPGA应用程序时不被注意,由此这些I/O通道自动地例如按照预先调整地与通信接口连接。
在本发明的有利的实施方案中,所述创建用于将第二子集的I/O通道与通信接口连接的代码的步骤包括提供用于连接如下I/O通道的预合成代码,所述I/O通道是所述第二子集的I/O通道中的部分。预合成代码除此之外能实现减少了用于生成第一应用程序的时间。预合成代码成为FPGA应用程序的部分,而不必对这部分进行翻译。预合成代码可以与其他代码组成部分简单地组合,这在FPGA的不同供应商中称为匹配(Fitting)或者布局布线(Place&Route)。接着可以将代码整体上加载到FPGA上。预合成代码优选从存在的程序库取出。
在本发明的有利的实施方案中,所述创建第一应用程序的步骤包括提供第一子集的识别信息。第一子集的识别信息可以用于在创建第二应用程序时将I/O通道的选择限制于还可用的通道,这简单且可靠地实现第二应用程序的创建。优选地用于基于模型的FPGA应用程序创建的模型化环境提供用于应用程序模型的硬件组件的所有I/O通道。在FPGA应用程序的模型化之后对于不在FPGA应用程序中所必需的I/O通道提供识别信息用于第二应用程序,以便该第二应用程序可以有针对性地使用这些空闲的、不在FPGA应用程序中所必需的I/O通道。
在本发明的有利的实施方案中,所述创建第一应用程序的步骤包括接收第二子集的识别信息。第二子集的识别信息可以用于在创建第一应用程序时将I/O通道的选择限制于还可用的通道,这简单且可靠地实现第一应用程序的创建。对于不在第二应用程序中所必需的I/O通道可以提供识别信息用于第一应用程序,以便该第一应用程序可以有针对性地使用这些空闲的、不在处理器应用程序中所必需的I/O通道。
在本发明的有利的实施方案中,该方法附加地包括创建应用程序模型的步骤,其中,所述创建第二应用程序的步骤包括基于模型地自动创建代码。模型化能实现简单的代码生成,由此短的研发周期是可能的。优选地使用功能块,以便基于模型地在Matlab或Simulink中创建在处理器中所期望的功能性。进一步优选地将必需的I/O通道引入到应用程序模型中并且与之前创建的功能性相联系。
优选地,应用程序模型是用于创建第一和第二应用程序的统一的模型。备选地然而也可以使用用于创建第一应用程序的模型和用于创建第二应用程序的另一模型。
在本发明的有利的实施方案中,所述创建第二应用程序的步骤包括提供第二子集的识别信息。第二子集的识别信息可以用于在创建第一应用程序时将I/O通道的选择限制于还可用的通道,这简单且可靠地能实现第一应用程序的创建。优选地,用于基于模型的处理器应用程序创建的模型化环境提供用于应用程序模型的硬件组件的所有I/O通道。在处理器应用程序的模型化之后,对于不在处理器应用程序中所必需的I/O通道提供识别信息用于第一应用程序,以便该第一应用程序可以有针对性地使用这些空闲的、不在处理器应用程序中所必需的I/O通道。
在本发明的有利的实施方案中,所述创建第二应用程序的步骤包括接收第一子集的识别信息。第一子集的识别信息可以用于在创建第二应用程序时将I/O通道的选择限制于还可用的通道,这简单且可靠地能实现第二应用程序的创建。对于不在第一应用程序中所必需的I/O通道可以提供识别信息用于第二应用程序,由此第二应用程序可以有针对性地应用这些空闲的、不在FPGA应用程序中所必需的I/O通道。
此外,本发明涉及一种用于运行测量、控制或调节系统的硬件组件的方法,所述测量、控制或调节系统包括处理器、现场可编程门阵列和多个I/O通道,其中,所述I/O通道连接到所述现场可编程门阵列上并且所述现场可编程门阵列经由通信接口与处理器连接,所述方法包括:加载根据上述方法创建的软件;并且执行所述软件,其中,加载所述软件包括加载第一应用程序到所述现场可编程门阵列中以及加载第二应用程序到所述处理器中。相应地可以在硬件组件中执行两个应用程序,一个在处理器上并且一个在FPGA上,它们根据应用将I/O通道任意地分配到这两个应用程序上。这点能实现提供经典的控制、测量或调节模型与基于模型创建的FPGA模型的组合,所述基于模型创建的FPGA模型由于FPGA技术能实现用于调节模型的在亚微秒范围内的小的周期时间或者在硬件组件上用于测量应用的非常高效的信号处理。
在本发明的有利的实施方案中,该方法包括部分地重新配置所述现场可编程门阵列的步骤。特别是在应用程序的修改中可以由位于FPGA上的代码出发仅仅替换一部分,由此可以快速地以经修改的代码来使用FPGA。而且由此缩短了从应用程序模型直到创建第一应用程序的时间,因为相应地仅须执行FPGA代码的部分。原理上重新配置可以涉及FPGA代码的任意部分,亦即也有预合成代码。相应地可以将各单个组成部分预执行地写入到FPGA上并且在上述使用之后保留在那里。
此外,本发明涉及一种测量、控制或调节系统的硬件组件,所述测量、控制或调节系统包括处理器、现场可编程门阵列和多个I/O通道,其中,所述I/O通道连接到所述现场可编程门阵列上并且所述现场可编程门阵列经由通信接口与处理器连接,其中,所述硬件组件构造成用于实施上述方法。
本发明同样涉及一种具有计算机执行的指令的计算机程序产品,该计算机程序产品在适合的数据处理装置中加载和执行之后执行上述方法。
此外,本发明涉及一种具有可电子读取的控制信号的数字存储介质,所述可电子读取的控制信号能够与可编程的数据处理装置这样配合作用,使得在所述数据处理装置上执行上述方法。
附图说明
以下参照附图借助优选的实施形式进一步阐述本发明。图中:
图1示出按照本发明的一种实施形式的硬件组件的示意图;
图2示出按照图1的硬件组件的示意图连同用于实施按照本发明的一种实施形式的方法的数据处理装置的示意图;
图3示出按照本发明的一种实施形式的方法的流程图;
图4示出按照图3的步骤S2的详细流程图;
图5示出按照图4的步骤S23的详细流程图;
图6示出按照图3的步骤S3的详细流程图;
图7示出按照图3的步骤S4的详细流程图。
具体实施方式
图1示出了按照第一实施形式的测量、控制或调节系统的硬件组件1。硬件组件1这里示例性地是用于快速控制原型(RCP)的仪器并且包括多个I/O通道2、FPGA3和处理器4。I/O通道2连接到FPGA3上并且FPGA3经由通信接口5与处理器4连接。在该实施例中,硬件组件1具有总共24个模拟数字转换器、16个数字模拟转换器和48个数字输入输出作为I/O通道2,它们在图1中仅部分地示出。
图2除了硬件组件1之外示出一个数据处理装置6,该数据处理装置是一个高性能计算机。在数据处理装置6上,作为第一软件模块7构造有FPGA编程模块组,该FPGA编程模块组具有用于对FPGA3编程的Simulink模块组和用于创建在FPGA3上执行用的第一应用程序的Xilinx工具(ISE、XSG);以及作为第二软件模块8构造有用于创建在处理器4上执行用的第二应用程序的RTI模块组或Simulink模块组。
图3一般性地示出一种用于创建硬件组件1用的软件和用于运行硬件组件1的方法。该方法在步骤S1中以用户启动其应用程序的、亦即用于硬件组件1的软件的模型化而开始。
在步骤S2中创建用于在FPGA3上执行的第一应用程序。为此首先如在图4中详细地示出的那样,在步骤S21中创建FPGA模型。利用XSG的功能块来用于基于模型地在Matlab/Simulink中创建在FPGA3中所期望的功能性。为此由FPGA编程模块组给用户提供硬件组件1的所有I/O通道2。
在步骤S22中由用户进行用于通过FPGA3操作的第一子集9的I/O通道2的选择,亦即与第一应用程序连接的I/O通道2的选择。为此用户将必需的I/O通道2由FPGA编程模块组引入到其FPGA模型中并且然后将这些I/O通道与其之前创建好的功能性相联系。例如这里在FPGA模型中使用8个模拟数字转换器和8个数字模拟转换器,它们形成第一子集9,其中仅在图2中示出一部分。在该实施例中,进行用于通过处理器4操作的第二子集10的I/O通道2的自动选择作为I/O通道的集合,所述第二子集的I/O通道不属于第一子集9的I/O通道2。
在步骤S23中翻译FPGA模型。为此如在图5中详细示出的那样,在步骤S231中未由FPGA模型使用的I/O通道2(在该例子中为16个模拟数字转换器、8个数字模拟转换器和24个数字输入输出)由FPGA编程模块组自动配备标准功能性,从而这些I/O通道2稍后可以由RTI模块组使用来用于创建第二应用程序,如在下面将阐述的那样。
在步骤S232中生成FPGA代码。这点包括基于模型地自动创建用于由用户创建的FPGA模型的代码以及创建用于将第二子集10的I/O通道2与通信接口5连接的代码。用于将第二子集10的I/O通道2与通信接口5连接的代码包含用于连接第二子集10的I/O通道2的预合成代码,该预合成代码由程序库添加给另外的合成代码。全部代码的不同的组成部分的连接例如在Altera的FPGA中称为“配合(Fitten)”而在Xilinx的FPGA中称为“映射(MAP)+布局布线(Place&Route)”。
在步骤S233中提供第一子集9的识别信息。识别信息包含如下信息,I/O通道2对于这些信息有标准功能性供使用。
在步骤S3中进行用于在处理器4上执行的第二应用程序的创建。为此详细地,如在图6中详细地示出的那样,在步骤S31中RTI模块组由FPGA模块组获得识别信息,这些识别信息供具有标准功能性的I/O通道2使用。这些在步骤S32中被提供来使用。
在步骤S33中创建应用程序模型。这点在RTI模块组中以在步骤S32中被提供使用的I/O通道2来进行。第二应用程序的模型在Matlab/Simulink中被创建。
在步骤S34中如惯常的那样翻译第二应用程序的完成模型化的模型,亦即实施构建,由此自动地基于模型创建第二应用程序。为此接收第一子集9的识别信息。
在步骤S35中将之前创建好的第一应用程序、亦即FPGA应用程序嵌入到第二应用程序、亦即处理器应用程序中。
在步骤4中将创建好的软件、亦即第一和第二应用程序加载到硬件组件上。为此首先如详细地在图7中所示出的那样,在步骤S41中将具有嵌入好的第一应用程序的第二应用程序加载到处理器4上,其中,将第二应用程序存储在一个配设给处理器4的存储器上。
在步骤S42中启动用于在处理器4上执行的、来自存储器的具有嵌入好的第一应用程序的第二应用程序。
通过执行具有嵌入好的第一应用程序的第二应用程序,在步骤S43中将第一应用程序传输到FPGA上。
接着可以按照加载的第一和第二应用程序运行硬件组件1。
附图标记列表:
硬件组件      1
I/O通道       2
FPGA          3
处理器        4
通信接口      5
数据处理装置  6
第一软件模块  7
第二软件模块  8
第一子集      9
第二子集      10

Claims (15)

1.用来创建用于测量、控制或调节系统的硬件组件(1)的软件的方法,所述测量、控制或调节系统包括处理器(4)、现场可编程门阵列(3)和多个I/O通道(2),其中,所述I/O通道(2)连接到所述现场可编程门阵列(3)上并且所述现场可编程门阵列(3)经由通信接口(5)与处理器(4)连接,所述方法包括以下步骤:
选择用于通过所述现场可编程门阵列(3)操作的第一子集(9)的I/O通道(2);
创建用于在现场可编程门阵列(3)上执行的第一应用程序;
选择用于通过所述处理器(4)操作的第二子集(10)的I/O通道;
创建用于在所述处理器(4)上执行的第二应用程序,其中,
所述创建第一应用程序的步骤包括创建用于将第二子集(10)的I/O通道(2)与通信接口(5)连接的代码。
2.根据权利要求1所述的方法,其中,
所述创建将用于第二子集(10)的I/O通道(2)与所述通信接口(5)的连接的代码的步骤包括创建用于实施在第二子集(10)的I/O通道(2)中的至少一个I/O通道与通信接口(5)之间的信号处理的代码。
3.根据上述权利要求1或2之一所述的方法,附加地包括以下步骤:
创建应用程序模型,其中,
所述创建第一应用程序的步骤包括基于模型自动创建代码。
4.根据权利要求3所述的方法,其中,
所述创建用于将第二子集(10)的I/O通道(2)与通信接口(5)连接的代码的步骤包括自动创建用于连接如下I/O通道(2)的代码,所述I/O通道是所述第二子集(10)的I/O通道(2)中的部分。
5.根据上述权利要求3或4之一所述的方法,其中,
所述创建将用于第二子集(10)的I/O通道(2)与通信接口(5)连接的代码的步骤包括提供用于连接如下I/O通道(2)的预合成代码,所述I/O通道是所述第二子集(10)的I/O通道(2)中的部分。
6.根据上述权利要求3至5之一所述的方法,其中,
所述创建第一应用程序的步骤包括提供第一子集(9)的I/O通道(2)的识别信息。
7.根据上述权利要求3至6之一所述的方法,其中,
所述创建第一应用程序的步骤包括接收第二子集(10)的I/O通道(2)的识别信息。
8.根据上述权利要求之一所述的方法,附加地包括以下步骤:
创建应用程序模型,其中,
所述创建第二应用程序的步骤包括基于模型自动创建代码。
9.根据权利要求8所述的方法,其中,
所述创建第二应用程序的步骤包括提供所述第二子集(10)的I/O通道(2)的识别信息。
10.根据上述权利要求8或9之一所述的方法,其中,
所述创建第二应用程序的步骤包括接收所述第一子集(9)的I/O通道(2)的识别信息。
11.用于运行测量、控制或调节系统的硬件组件(1)的方法,所述测量、控制或调节系统包括处理器(4)、现场可编程门阵列(3)和多个I/O通道(2),其中,所述I/O通道(2)连接到所述现场可编程门阵列(3)上并且所述现场可编程门阵列(3)经由通信接口(5)与处理器连接,所述方法包括:
加载根据按照权利要求1至10之一的方法创建的软件;并且
执行所述软件,其中,
所述加载软件包括加载第一应用程序到所述现场可编程门阵列(3)中以及加载第二应用程序到所述处理器(4)中。
12.根据权利要求11所述的方法,附加地包括以下步骤:
部分地重新配置所述现场可编程门阵列(3)。
13.测量、控制或调节系统的硬件组件(1),所述测量、控制或调节系统包括处理器(4)、现场可编程门阵列(3)和多个I/O通道(2),其中,所述I/O通道(2)连接到所述现场可编程门阵列(3)上并且所述现场可编程门阵列(3)经由通信接口(5)与处理器连接,其中,
所述硬件组件(1)构造成用于实施根据上述权利要求11或12之一所述的方法。
14.具有计算机执行的指令的计算机程序产品,该计算机程序产品在适合的数据处理装置(6)中加载和执行之后执行根据上述权利要求1至10之一所述的方法。
15.具有可电子读取的控制信号的数字存储介质,所述可电子读取的控制信号能够与可编程的数据处理装置(6)这样配合作用,使得在所述数据处理装置(6)上执行根据权利要求1至10之一所述的方法。
CN201410070613.2A 2013-04-29 2014-02-28 创建硬件组件的软件的方法以及硬件组件 Active CN104123187B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
DE102013104320.8 2013-04-29
DE102013104320.8A DE102013104320A1 (de) 2013-04-29 2013-04-29 Flexible Aufteilung der I/O Kanäle einer Hardware Kompomente

Publications (2)

Publication Number Publication Date
CN104123187A true CN104123187A (zh) 2014-10-29
CN104123187B CN104123187B (zh) 2019-05-31

Family

ID=49958301

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201410070613.2A Active CN104123187B (zh) 2013-04-29 2014-02-28 创建硬件组件的软件的方法以及硬件组件

Country Status (5)

Country Link
US (1) US9811361B2 (zh)
EP (1) EP2799983B1 (zh)
JP (1) JP6489760B2 (zh)
CN (1) CN104123187B (zh)
DE (1) DE102013104320A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106997198A (zh) * 2016-01-26 2017-08-01 帝斯贝思数字信号处理和控制工程有限公司 用于配置为测试控制器而设置的测试设备的方法
CN107229584A (zh) * 2017-06-01 2017-10-03 西南电子技术研究所(中国电子科技集团公司第十研究所) 航空电子仿真测试平台i/o管理系统
CN108983645A (zh) * 2017-06-01 2018-12-11 比亚迪股份有限公司 列车及用于列车的多路i/o输出控制系统、方法

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102015119202A1 (de) 2015-05-11 2016-11-17 Dspace Digital Signal Processing And Control Engineering Gmbh Schnittstelleneinheit zur Weiterleitung priorisierter Eingangsdaten an einen Prozessor
US10331833B2 (en) 2016-05-03 2019-06-25 Dspace Digital Signal Processing And Control Engineering Gmbh Optimized generation of overall netlist and bit stream
EP3242232B1 (de) 2016-05-03 2019-02-27 dSPACE digital signal processing and control engineering GmbH Optimierte erzeugung von gesamtnetzliste und bitstrom
EP3413204B1 (de) * 2017-06-09 2019-05-08 dSPACE digital signal processing and control engineering GmbH Verfahren zur parallelen verwaltung kontinuierlicher und tasksynchroner eingangsdaten eines echtzeitsystems
US11265172B2 (en) * 2018-12-21 2022-03-01 Intel Corporation Methods and apparatus for offloading signature revocation checking on acceleration circuitry
EP3726394A1 (en) * 2019-04-17 2020-10-21 Volkswagen Aktiengesellschaft Reconfigurable system-on-chip

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030038842A1 (en) * 1998-02-17 2003-02-27 National Instruments Corporation System and method for configuring a reconfigurable system
US20050177816A1 (en) * 2002-03-08 2005-08-11 National Instruments Corporation Automatic generation of graphical program code for a graphical program based on the target platform of the graphical program
US7024651B1 (en) * 2001-04-26 2006-04-04 Xilinx, Inc. Partial reconfiguration of a programmable gate array using a bus macro
US20080270920A1 (en) * 2007-04-24 2008-10-30 Hudson Duncan G Automatically Generating a Graphical Program with a Plurality of Models of Computation
CN102169158A (zh) * 2011-03-15 2011-08-31 深圳市双合电气股份有限公司 一种电力系统稳态录波装置
CN202196420U (zh) * 2011-09-08 2012-04-18 慈维琦 多功能手持数卡器

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6219628B1 (en) 1997-08-18 2001-04-17 National Instruments Corporation System and method for configuring an instrument to perform measurement functions utilizing conversion of graphical programs into hardware implementations
US7085670B2 (en) 1998-02-17 2006-08-01 National Instruments Corporation Reconfigurable measurement system utilizing a programmable hardware element and fixed hardware resources
US7086014B1 (en) * 2001-11-19 2006-08-01 Cypress Semiconductor Corporation Automatic generation of application program interfaces, source code, interrupts, and datasheets for microcontroller programming
JP2005327124A (ja) * 2004-05-14 2005-11-24 Fujitsu Ten Ltd ポート割付制御システム
US7568172B2 (en) 2007-08-03 2009-07-28 National Instruments Corporation Integration of pre-defined functionality and a graphical program in a circuit

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030038842A1 (en) * 1998-02-17 2003-02-27 National Instruments Corporation System and method for configuring a reconfigurable system
US7024651B1 (en) * 2001-04-26 2006-04-04 Xilinx, Inc. Partial reconfiguration of a programmable gate array using a bus macro
US20050177816A1 (en) * 2002-03-08 2005-08-11 National Instruments Corporation Automatic generation of graphical program code for a graphical program based on the target platform of the graphical program
US20080270920A1 (en) * 2007-04-24 2008-10-30 Hudson Duncan G Automatically Generating a Graphical Program with a Plurality of Models of Computation
CN102169158A (zh) * 2011-03-15 2011-08-31 深圳市双合电气股份有限公司 一种电力系统稳态录波装置
CN202196420U (zh) * 2011-09-08 2012-04-18 慈维琦 多功能手持数卡器

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
RAMONA DUELKS, FALK SALEWSKI,STEFAN KOWALEWSKI: "A real-time test and simulation environment based on standard FPGA hardware", 《PRACTICE AND RESEARCH TECHNIQUES,TESTING: ACADEMIC AND INDUSTRIAL CONFERENCE ON(TAIC-PART)》 *

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106997198A (zh) * 2016-01-26 2017-08-01 帝斯贝思数字信号处理和控制工程有限公司 用于配置为测试控制器而设置的测试设备的方法
CN107229584A (zh) * 2017-06-01 2017-10-03 西南电子技术研究所(中国电子科技集团公司第十研究所) 航空电子仿真测试平台i/o管理系统
CN108983645A (zh) * 2017-06-01 2018-12-11 比亚迪股份有限公司 列车及用于列车的多路i/o输出控制系统、方法
CN107229584B (zh) * 2017-06-01 2020-03-13 西南电子技术研究所(中国电子科技集团公司第十研究所) 航空电子仿真测试平台i/o管理系统
CN108983645B (zh) * 2017-06-01 2020-12-25 比亚迪股份有限公司 列车及用于列车的多路i/o输出控制系统、方法

Also Published As

Publication number Publication date
JP2014216031A (ja) 2014-11-17
EP2799983A1 (de) 2014-11-05
US9811361B2 (en) 2017-11-07
CN104123187B (zh) 2019-05-31
US20140324408A1 (en) 2014-10-30
DE102013104320A1 (de) 2014-10-30
EP2799983B1 (de) 2018-04-04
JP6489760B2 (ja) 2019-03-27

Similar Documents

Publication Publication Date Title
CN104123187A (zh) 硬件组件的i/o通道的灵活分配
CN112270149B (zh) 验证平台自动化集成方法、系统及电子设备和存储介质
CN103984791B (zh) 对fpga在运行时的信号值的随机访问
US20150248343A1 (en) Method and apparatus for implementing instrumentation code
US10551807B2 (en) Method for connecting an input/output interface of a tester equipped for control unit development
CN111596927B (zh) 服务部署方法、装置及电子设备
US11954015B2 (en) Software environment for control engine debug, test, calibration and tuning
CN105468797A (zh) 一种信息处理方法及装置
US11500338B2 (en) Test platform for embedded control system
CN104657263A (zh) 基于jtag调试方式实现通用型目标码覆盖率测试系统和测试方法
CN106095415A (zh) 开发文件打包方法、装置及系统
CN111459541A (zh) 应用程序的打包方法、装置、计算机设备和存储介质
CN113626038A (zh) 代码转换方法、装置、设备以及存储介质
Weiland et al. A classification of modeling variability in simulink
CN111552201A (zh) 模型的处理器在环测试方法、装置、设备和介质
CN110597710B (zh) 测试覆盖率统计方法、装置、计算机设备及存储介质
CN110597552A (zh) 项目持续集成流水线的配置方法、装置、设备及存储介质
CN105677560A (zh) 一种测试方法、装置及系统
US10318687B2 (en) Implementing a constant in FPGA code
CN110806891A (zh) 嵌入式设备软件版本的生成方法及装置
US10430200B2 (en) Slave processor within a system-on-chip
CN109376045A (zh) 一种bios参数自动化验证装置及验证方法
US10223077B2 (en) Determination of signals for readback from FPGA
US11275875B2 (en) Co-simulation repeater with former trace data
CN111813673A (zh) 硬盘填充测试方法及系统

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
CP01 Change in the name or title of a patent holder
CP01 Change in the name or title of a patent holder

Address after: Paderborn, Germany

Patentee after: Desbeth Co.,Ltd.

Address before: Paderborn, Germany

Patentee before: DSPACE DIGITAL SIGNAL PROCESSING AND CONTROL ENGINEERING GmbH