CN104104344A - Class-D audio frequency amplifier and pulse width modulation method thereof - Google Patents

Class-D audio frequency amplifier and pulse width modulation method thereof Download PDF

Info

Publication number
CN104104344A
CN104104344A CN201410330486.5A CN201410330486A CN104104344A CN 104104344 A CN104104344 A CN 104104344A CN 201410330486 A CN201410330486 A CN 201410330486A CN 104104344 A CN104104344 A CN 104104344A
Authority
CN
China
Prior art keywords
module
modulation
pulse width
interpolation
pulse
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201410330486.5A
Other languages
Chinese (zh)
Inventor
李鹏
黄强
吴斯奇
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Ruizhi Semiconductor (shanghai) Co Ltd
Original Assignee
Ruizhi Semiconductor (shanghai) Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ruizhi Semiconductor (shanghai) Co Ltd filed Critical Ruizhi Semiconductor (shanghai) Co Ltd
Priority to CN201410330486.5A priority Critical patent/CN104104344A/en
Publication of CN104104344A publication Critical patent/CN104104344A/en
Pending legal-status Critical Current

Links

Landscapes

  • Amplifiers (AREA)

Abstract

A class-D audio frequency amplifier comprises a digital logic PWM (pulse width modulation) module, a class-D amplifier power amplifier tube and a low-pass filter and is characterized in that the digital logic PWM module comprises an initiative access interface module, a digital pulse width modulation module, a pre-warning and monitoring module and a PWM signal generation module in sequential connection; the initiative access interface module is used for initiatively acquiring PCM (pulse code modulation) audio data; the digital pulse width modulation module comprises an interpolation and filtration module, a modulation pulse computing module and a low order excision and noise shaping module in sequential connection.

Description

A kind of D class audio amplifier with and pulse duration modulation method
Technical field
The invention belongs to the field of D class audio amplifier, relate in particular to a kind of Digital Logic pulse-width modulation PWM module and pulse duration modulation method thereof of the D of being applied to class audio amplifier.
Background technology
D class audio amplifier is since 1958 are suggested, and due to its efficiency natural rate having than A, B, class ab ammplifier etc., and recent portable equipment progressively universal, D class A amplifier A has obtained using more widely and paying close attention to naturally.And along with the progress of semiconductor technology and technology, its some problems originally have also obtained better solution.
The general principle of D class audio amplifier, audio signal and a triangular wave (Triangle) or the comparison of sawtooth waveforms (SAW-TOOTH) signal, thereby be modulated to a string pulse width signal, with this pulse-width signal, the grid of two complementary CMOS power tubes of switch, supply with loud speaker with output signal, and add therein low pass filter with elimination high-frequency harmonic wherein.
All D class A amplifier A modulation techniques are all encoded to the relevant information of audio signal in pulse train.Conventionally, pulse duration is associated with the amplitude of audio signal, and pulse frequency spectrum includes the audio signal pulse of use and the radio-frequency component of useless (but cannot avoid).So-called pulse modulation technology (Pulse Width Modulation) namely becomes the amplitude modulation(PAM) of simulated audio signal the width of series of rectangular pulse.The switching frequency (fSW, triangular wave) of most of D class A amplifier As is conventionally between 250kHz to 1.5MHz, to reduce the requirement for output filtering.After this signal amplifies by amplifying circuit, by high fdrequency component filtering wherein, just can obtain the audio signal needing.Due in this case, transistor is operated on off state, and on off state, transistorized efficiency is very high, and electric current on the state transistor of completely conducting is very large and pressure drop is very little, when cut-off, flow through transistorized electric current very little, so power tube itself consume power very little, be desirably 0, thus this namely D class A amplifier A can obtain high efficiency reason.Compared with A, B, class ab ammplifier, class-a amplifier distortion minimum, dead point operating current maximum, efficiency is minimum; Class-b amplifier distortion is larger, dead point operating current minimum, and efficiency is higher; Class ab ammplifier distortion is medium, and dead point operating current is medium, and efficiency is medium; D class A amplifier A is not the difference of working point, but the diverse novel amplifier of operation principle, also someone is referred to as digital amplifier.
Even so, in many situations, D class A amplifier A main composition part or a pure analog circuit.Main difficulty is digital audio-frequency data, conventionally the highest 44.1 or 48khz, directly process these data, cannot obtain and the accurate relation of corresponding triangular wave or sawtooth waveforms carrier signal, the signal in realizing corresponding to simulation and sawtooth waveforms comparator relatively in the pulsewidth length of the modulation that obtains.In addition, the distortion of D class A amplifier A mainly following factor cause:
Pulsewidth error and quantization error when sampling;
The dead band of driving tube and time delay;
The ON time of power tube and body diode recover;
Output inductor and electric capacity non-linear;
Conventionally, the power output of D class A amplifier A is larger, and its distortion is also larger.In the design part of Digital Logic, can do certain control and processing to the problem of the first two distortion.About " dead band ", be because power amplifier level is transistorized inconsistent, if for example cut-off of upper and lower two transistors conducting simultaneously, but two whiles are in conducting state.That is just easy to cause the short circuit of power supply.For fear of this thing happens, conventionally adopt the Dead Time of conducting to set.Namely carry out then not conducting at once of transistor in conducting pulse, but have certain time delay, then just conducting.This section of delay time, is called dead band.After adopting dead band, although can avoid the conducting simultaneously of upper and lower two pipes, also can cause the distortion of signal.
Proposition of the present invention is in order to overcome the technical problem that in above-mentioned prior art, D class audio amplifier exists, make D class audio amplifier possess pulsewidth and the feature such as quantization error is little, core technology effect of the present invention is the effect that obtains the Natural Sampling in simulation realizes that matches in excellence or beauty, and make full use of the advantage intervention of digital circuit and control the process that audio frequency is play, obtain better effect.
Summary of the invention
First the present invention has disclosed a kind of D class audio amplifier, the pulse-width modulation PWM module, a D class A amplifier A power tube, the low pass filter that comprise a Digital Logic, the pulse-width modulation PWM module that it is characterized in that described Digital Logic comprises initiatively peek interface module, a digital pulse width modulation module, an early warning and monitoring module and the pwm signal generation module connecting successively; The described interface module of initiatively peeking is in order to active obtaining pcm audio data; Described digital pulse width modulation module comprises an interpolation and filtration module, a modulation pulsewidth computing module, an amputation low level and the noise shaping module that order connects successively; Wherein:
Described interpolation and filtration module carry out interpolation processing in order to the pcm audio data to described with some interpolation multiple L, and the voice data after interpolation processing are carried out exporting modulation pulsewidth computing module to after low-pass filtering simultaneously; Aforementioned pcm audio data are carried out to interpolation processing also referred to as over-sampling interpolation, its objective is the requirement that adapts to switching frequency, larger switching frequency is more convenient for by low pass filter filtering the higher harmonic components after modulation, and recovering signal preferably improves signal quality;
Described modulation pulsewidth computing module is in order to carry out Digital Modulation to draw pulse width data using sawtooth waveforms as carrier wave to filtering interpolation voice data after treatment and by pseudo-sampling method, and exports amputation low level and noise shaping module to; So-called pseudo-sampling method is by polynomial interopolation approaching to reality data and curves, then passes through Newton iterative calculation " Natural Sampling point ", thereby tries to achieve the pulsewidth length of enough approaching to reality values;
Described amputation low level and noise shaping module also comprise a filter, the low level of the pulse width data that described amputation low level and noise shaping module obtain after Digital Modulation in order to cutting, and by described filter in order to the noise producing after low level amputation is carried out to export early warning and monitoring module to after Shape correction, after amputation low level, the coefficient table of the filter of noise shaping is also realized with RAM memory, to adjust by software easily and flexibly, its exponent number and coefficient are determined according to the relation of the peak frequency of audio signal and switching frequency and for the demand of noise suppressed, it should be noted that, the reason of amputation low level is the pulsewidth (such as the pwm value of 16bit or 24bit) of the frequency response precision that the operating frequency of side circuit cannot be regularly required, need to rely on this kind of method to eliminate the noise problem after cut position,
Described early warning and monitoring module are in order to carry out emergency processing to the high value of long-time mistake, Dead Time to described power tube is controlled, the maximin of pulse-width data is monitored and is forced to mourn in silence to export and arranges, early warning and monitoring module according to certain condition judgment mourn in silence output be an important control mode, such as exceeding the threshold value of a setting at the Time Continuous of setting monitoring voice data, or in the time of switching working mode, force to mourn in silence output, etc., it is all the powerful measure that effectively reduces terminal output hazardous noise, in addition, can also add up the maximin of voice data by this module, Dead Time length while controlling full-bridge output, force square wave output etc., all some strong monitoring means for final output,
Pwm signal generation module is in order to generate a pulse width signal according to noise shaping pulse width data after treatment, this pwm signal generation module can as the pulse width signal of output of modulation comparator in simulation implementation be equally connected on follow-up MOSFET power tube circuit, form the audio frequency amplifier of general D class, be connected to full-bridge or half-bridge power to all can on pipe.
Further, according to above-mentioned D class audio amplifier, aforesaid interpolation and filtration module are the linear low pass ripple finite impulse response filter of a polyphase structure, and interpolation multiple L is 8, its coefficient table is preserved with RAM memory, the convenient effect of adjusting more neatly.And the length of window of this filter (TAP) can be determined according to parameters such as the Ripple Suppression rates that meets application demand of passband, only band and correspondence.
Further, the band connection frequency Fpass of described filter is 19Khz, and stop-band frequency Fstop is 23Khz, and minimum pass band damping is that Apass is 0.001dB, minimum stop-band attenuation is that Astop is 100dB (16 precision), and sample frequency is Fs=352.8Khz.
Further, described sawtooth waveforms can adopt rear sawtooth waveforms.
Disclosed D class audio amplifier is by three indispensable, inter-related Mathematical treatment modules---noise shaping after interpolation, pulsewidth length computation and amputation low level, try to achieve the digital pulse width signal after modulation, and reduce distortion, suppress greatly noise.The object of over-sampling interpolation is the requirement that adapts to switching frequency, and larger switching frequency is more convenient for by low pass filter filtering the higher harmonic components after modulation, and recovering signal preferably improves signal quality; By polynomial interopolation approaching to reality data and curves, then pass through Newton iterative calculation " Natural Sampling point ", thereby try to achieve the pulsewidth length of enough approaching to reality values; Finally with noise shaping logic, pulsewidth length value is carried out to cut position filtering again, thereby timing output digital pulse width length in attainable frequency range, three link close association together, on the basis of analyzing in mathematical theory, make higher harmonic restraining built in enough low level, and audio signal obtain good recovery.
In addition, the present invention has also disclosed a kind of pulse duration modulation method of D class audio amplifier, realizes pulse-width modulation according to above-mentioned announcement D class audio amplifier, is specially:
Step 1: active obtaining pcm audio data;
Step 2: described pcm audio data are carried out to interpolation processing with some interpolation multiple L, and the voice data after interpolation processing is carried out to low-pass filtering simultaneously;
Step 3: filtering interpolation voice data after treatment is carried out to Digital Modulation to draw pulse width data with pseudo-Natural Sampling method using sawtooth waveforms as carrier wave;
Step 4: described pulse width data is carried out to noise shaping processing, the low level of the pulse width data that cutting obtains after step 3 Digital Modulation, and by a filter in order to the noise producing after low level amputation is carried out to shaping;
Step 5: to carrying out generating a pulse width signal through described pwm signal generation module through the pulse width data of step 4 processing.
Further, desirable described interpolation multiple is 8.
Further, low-pass filtering parameter described in step 2 is: band connection frequency Fpass is 19Khz, stop-band frequency Fstop is 23Khz, minimum pass band damping is that Apass is 0.001dB, minimum stop-band attenuation is that Astop is 100dB (16 precision), and sample frequency is Fs=352.8Khz.
Further, described sawtooth waveforms is rear sawtooth waveforms.
Brief description of the drawings
Fig. 1 is the structure that adopts the D class audio amplifier of the pulse-width modulation of Digital Logic
Fig. 2 is the basic structure block diagram of the pulse-width modulation design of Digital Logic
Fig. 3 is the basic structure block diagram of interpolation and filtration module
Fig. 4 is the basic structure block diagram of pulsewidth computing module
Fig. 5 is the basic structure block diagram of noise shaping logic
Fig. 6 is the normalization amplitude response index of digital linear low pass ripple finite impulse response filter
Fig. 7 is the contrast of Natural Sampling and uniform sampling
Fig. 8 is the function diagram of cut position and noise shaping
Embodiment
One embodiment of the present of invention are:
D class audio amplifier as shown in Figure 1, the pulse-width modulation PWM module of Digital Logic residing position in audio frequency amplifier, obtain pcm audio numerical data from derived digital signal or memory block, and process and acquire pulse-width signal and export to power tube circuit, to drive audio frequency to play.
Fig. 2 has illustrated the structure of the pulse-width modulation PWM module of Digital Logic, comprises a peek interface, an interpolation and filtration module, a modulation pulsewidth computing module, an amputation low level and noise shaping module, an early warning and monitoring module and a pwm signal generation module.
Peek interface can be APB in AMBA chip architecture standard from interface, can pass through CPU or DMA primary module to this from interface transmission of audio data, there is the buffer being made up of FIFO in this data-interface inside, is beneficial to the sample rate of subsequent module control voice data.
After interpolation and filtration module are connected to peek interface, carry out 8 times of (8x) interpolation processing of first stage, be about to the sample value of signal, be extended for 8 times of quantity by interpolation, when interpolation processing, carry out the filtering of interpolated data, to reduce the distortion of audio signal, suppress the impact of higher harmonic components;
Be connected to interpolation and filtration module below be modulation pulsewidth computing module, the modulation of sawtooth waveforms will be carried out according to the result of 8x interpolation, adopt the process of pseudo-Natural Sampling method modulation the function of signal curve to be approached according to the sampling point of some, ask for again the crosspoint of signal curve and sawtooth waveforms carrier wave according to result, be met the numeral of the pulsewidth length of required precision;
After 8x interpolation sampling, modulation sample rate Fs has become the audio sample rate of 8 times, be 44.1Khz to the maximum by audio sample rate, Fs=352.8Khz, in unit period due to each modulation sample rate, all will correspondingly modulate a pulse, the expression value of pulsewidth length will reach certain precision in addition, to reach the precision of 16 according to this example, the frequency of the clock of the timer counter needing will be up to 23Ghz, for actual circuit, this demand can not reach, thereby obtain after pulsewidth lengths table indicating value at aforementioned pulsewidth computing module, need to do the operation that intercepts low level to this length, and must do filtering processing to the low level of this intercepting, and feed back to the impact that input causes with compensation amputation low level, Here it is so-called " noise shaping ".
After adopting three steps as above, will try to achieve the pulsewidth length of the numeral that meets 16 precision, final result is represented by 8 bit data.
The data of early warning and monitoring module processing are 8 bit data of the Digital Logic output of above-mentioned pulse-width modulation, because the signal of pulse-width modulation is by the pulsed drive power tube being converted to one by one, consume tangible power, if there is mistake in data, be not only and may audio frequency play and be thrown into question, also likely because too high over a long time power stage damages power amplifier.Thereby " maximum pulse width threshold value ", " maximum pulse width threshold value cross the border read-around ratio " can in setting, register be set, or set one " time window " and measure " the high level output duty ratio " at the time window of length like this, monitor dangerous high level, high-power output.In addition, also have read-only register " maximum effectively output pulse width ", " minimum effectively output pulse width " and " average effective output pulse width ", can observe the interval of the modulation pulsewidth of output.And removing function is provided, facilitate software to choose the time period needing and carry out this statistics.Provide " forcing pulsewidth output valve " to arrange, to facilitate test and the control of mourning in silence, and matching model switches.In the time driving full-bridge power tube circuit, also need " Dead Time settings ", yes that the less distortion causing is less for Dead Time, but will the scope of power discharging transistor permission can, thereby increase this setting, improved the flexibility of circuit.
Finally, modulation pulsewidth length value is sent to pwm signal generation module, is final pwm signal by this module converts.Must one carry, the frequency of the counting and timing clock of this module should be 352.8KhzX256 (corresponding to 8 bit representations)=90.3Mhz.
Next the realization of three links of digital pulse width modulation is mainly described:
(1) interpolation and filtering
Illustrate how the digital linear low pass ripple finite impulse response filter (low-pass equiripple FIR) in interpolation and filtration module designs below.As shown in Figure 6, in figure, listed and determined the more necessary parameters of this filter.According to the actual requirements, determine that one group of parameter is as follows:
Band connection frequency Fpass=19Khz;
Stop-band frequency Fstop=23Khz;
Minimum pass band damping is Apass=0.001dB;
Minimum stop-band attenuation is Astop=100dB (16 precision);
Sample frequency is Fs=352.8Khz.
So, the exponent number of estimation filter transfer function can be estimated according to following formula, the one, Kai Ze (Kaiser) formula:
N ≈ - 20 log 10 δ p δ s - 13 14.6 ( ω s - ω p ) / 2 π
(formula 1)
It two is Hermann-Rabiner-Chan formula:
N ≈ D ∞ ( δ p , δ s ) - F ( δ p , δ s ) [ ( ω s - ω p ) / 2 π ] 2 ( ω s - ω p ) / 2 π
(formula 2)
Wherein,
D ps)=[a 1(log 10δ p) 2+a 2(log 10δ p)+a 3]log 10δ s
-[a 4(log 10δ p) 2+a 5(log 10δ p)+a 6]
(formula 3)
And,
F (δ p, δ s)=b 1+ b 2[log 10δ p-log 10δ s] (formula 4)
Parameter value in formula 3,4 is as follows,
a 1=0.005309,a 2=0.07114,a 3=-0.4761,
a 4=0.00266,a 5=0.5941,a 6=0.4278,
b 1=11.01217,b 2=0.51244
Normalized angle edge frequency is,
ω p = Ω p F s = 2 π F pass F s (formula 5)
ω s = Ω s F s = 2 π F stop F s (formula 6)
Ripple tolerance limit in passband,
A pass=-20log 10(1-2δ p)
(formula 7)
A stop=-20log 10s) (formula 8)
Try to achieve N ≈ 480.3 according to Hermann-Rabiner-Chan formula; Try to achieve N ≈ 479.7 according to triumphant damp formula, be taken as 481 rank.
In order to reduce the complexity of calculating, also need to adopt the FIR filter of polyphase structure (Polyphase).The relation of its input and output can be expressed from the next:
(formula 9)
Wherein, filter coefficient circulates by following rule,
G m(n)=h (nL+m ⊕ L) (formula 10)
Owing to being chosen to be the filtering interpolation of 8x, so L=8.In such cases, the little filter bank that the linear ripple FIR of original low pass is divided into 8 sections of phase places forms, its voice data that is input as maximum 44.1Khz, and output is converted into the up-sampling voice data of the 352.8Khz of 8x.
Adjusting a little parameter minimum stop-band attenuation is Astop=102.2dB, and can obtain its exponent number is 488, and the exponent number of the little filter of each like this phase place only needs 488/8=61 rank.
Because audio frequency up-sampling rate is 352.8Khz, far below the common operating frequency of the Mhz level of general digital circuit, thereby for the calculating of each up-sampling point of each little filter completely timesharing calculate, the discrete convolution that the structure that adds by taking advantage of-accumulate realizes this filter calculates, as shown in Figure 3.Process the logic of this calculating, calculated rate must be higher than 352.8KhzX61=21.5208Mhz, and specific implementation is looked and controlled beat and data delay caching situation, remakes suitably and increases and can satisfy the demand.
(2) calculating of the modulation pulsewidth of modulating with sawtooth waveforms carrier wave
About Natural Sampling pulse-width modulation (Natural-PWM), its corresponding saying is uniform sampling pulse-width modulation (Uniform-PWM), and it contrasts as shown in Figure 7.
Wherein, uniform sampling is obviously impossible realistic signal curve, and according to correlation analysis, uniform sampling has introduced harmonic component in the baseband frequency spectrum of signal, causes corresponding audio signal distortion.
Then, according to correlation analysis, contrast triangular wave, forward position sawtooth waveforms (Leading edge) and rear along sawtooth waveforms (Trailing edge) carrier wave, although triangular wave carrier is in analysis, the harmonic component of sideband is had to significant inhibitory action, but due to the filter through aforesaid module, the harmonic component of sideband has been lowered in the scope of demand, and triangular wave has two hypotenuses, thereby each up-sampling cycle, all need to calculate two crosspoints, thereby implement meeting more complicated, so do not use triangular wave carrier in digital pulse width modulation.Forward position is compared with rear edge, although its Fourier analysis is similar, due to rear more general along sawtooth waveforms, thus after adopting along sawtooth waveforms carrier wave.
So-called pseudo-Natural Sampling method, finds the function of approximation signal curve exactly according to signal sample value by mathematical method, because trying to achieve according to this method of position, crosspoint of signal curve and sawtooth waveforms.Indication is herein exactly the approximating method that multinomial approaches.
Sampling n+1 sampling point n rank polynomial expression formula be,
p(x i)=y i=a 0+a 1x+a 2x 2+…+a nx n,i=0,1,2,…,n
(formula 11)
The sampling point x0<x1<x2< that satisfies condition ... <xn.
The each sample value of substitution obtains,
X &OverBar; a = y &OverBar;
(formula 12)
Wherein,
X &OverBar; = [ x i j ] , i , j = 0,1 , &CenterDot; &CenterDot; &CenterDot; n
a = [ a 0 , a 1 , &CenterDot; &CenterDot; &CenterDot; , a n ] T , y &OverBar; = [ y 0 , y 1 , &CenterDot; &CenterDot; &CenterDot; , y n ] T
be so-called Fan Demengte matrix, obtain according to the following formula polynomial coefficient, can determine polynomial function.
a = X &OverBar; - 1 y &OverBar; (formula 13)
This example adopts 8 rank multinomials, n=8.
Might as well make amplitude range that sawtooth involves p (x) between [1,1], the analytical function of sawtooth waveforms is,
s ( x ) = 2 T x - ( 1 + 2 n )
(formula 14)
Wherein T is a up-sampling cycle (352.8Khz), and n is the current sampling period.Constructed fuction is as follows,
f ( x ) = p ( x ) - s ( x ) = a 0 + a 1 x + a 2 x 2 + &CenterDot; &CenterDot; &CenterDot; + a n x 8 - ( 2 T x - ( 1 + 2 n ) )
(formula 15)
Ask the root of this function according to Newton-Raphson iteration, be the crosspoint of signal curve and sawtooth waveforms,
x n + 1 = x n - f ( x n ) f &prime; ( x n ) , n &GreaterEqual; 0
(formula 16)
Iteration starting point is the central point in sampling period, and iterations adopts 3 times.
(3), cut position and noise shaping
The pulsewidth length data being calculated by aforementioned pulse-width modulation is 16, according to the initial analysis in aforementioned modules explanation, has in the end most and obtains the pulsewidth length of 8.
As shown in Figure 8, the treated feedback compensation of the low level amputation of filters H (z) is to input, thereby reaches the object of noise shaping.
Filter function is as follows,
H (z)=a 1z -1+ a 2z -2+ a 3z -3+ a 4z -4+ a 5z -5(formula 17)
Wherein,
a 1=5,a 2=-10,a 3=10,a 4=-5,a 5=1
About the ins and outs of the pulse-width modulation PWM module of whole Digital Logic, that's about the size of it, its core technology effect is the effect that obtains the Natural Sampling in simulation realizes that matches in excellence or beauty, and make full use of the advantage intervention of digital circuit and control the process that audio frequency is play, obtain better effect.Although thereby case introduction these mathematical way go to reach effect; but an obvious concrete realization is not limited to these modes and parameter; if can reach better effect, any amendment all may and be worth doing, such amendment will not exceed protection scope of the present invention yet.

Claims (8)

1. a D class audio amplifier, the pulse-width modulation PWM module, a D class A amplifier A power tube, the low pass filter that comprise a Digital Logic, the pulse-width modulation PWM module that it is characterized in that described Digital Logic comprises initiatively peek interface module, a digital pulse width modulation module, an early warning and monitoring module and the pwm signal generation module connecting successively;
The described interface module of initiatively peeking is in order to active obtaining pcm audio data;
Described digital pulse width modulation module comprises an interpolation and filtration module, a modulation pulsewidth computing module, an amputation low level and the noise shaping module that order connects successively;
Wherein:
Described interpolation and filtration module carry out interpolation processing in order to the pcm audio data to described with some interpolation multiple L, and the voice data after interpolation processing are carried out exporting modulation pulsewidth computing module to after low-pass filtering simultaneously;
Described modulation pulsewidth computing module is in order to using sawtooth waveforms as carrier wave, filtering interpolation voice data after treatment is carried out to Digital Modulation to draw pulse width data with pseudo-sampling method, and exports amputation low level and noise shaping module to;
Described amputation low level and noise shaping module also comprise a filter, the low level of the pulse width data that described amputation low level and noise shaping module obtain after Digital Modulation in order to cutting, and by described filter in order to the noise producing after low level amputation is carried out to export early warning and monitoring module to after Shape correction;
Described early warning and monitoring module in order to the high value of long-time mistake is carried out emergency processing, to the Dead Time of described power tube control, output setting is monitored and forced to mourn in silence to the maximin of pulse-width data;
Pwm signal generation module is in order to generate a pulse width signal according to noise shaping pulse width data after treatment.
2. D class audio amplifier according to claim 1, is characterized in that described interpolation and filtration module are the linear low pass ripple finite impulse response filter of a polyphase structure, and described interpolation multiple L is 8.
3. D class audio amplifier according to claim 2, the band connection frequency Fpass that it is characterized in that described filter is 19Khz, stop-band frequency Fstop is 23Khz, minimum pass band damping is that Apass is 0.001dB, minimum stop-band attenuation is that Astop is 100dB (16 precision), and sample frequency is Fs=352.8Khz.
4. D class audio amplifier according to claim 1, is characterized in that described sawtooth waveforms is rear sawtooth waveforms.
5. a pulse duration modulation method for D class audio amplifier, is characterized in that realizing pulse-width modulation according to D class audio amplifier claimed in claim 1, is specially:
Step 1: active obtaining pcm audio data;
Step 2: described pcm audio data are carried out to interpolation processing with some interpolation multiple L, and the voice data after interpolation processing is carried out to low-pass filtering simultaneously;
Step 3: filtering interpolation voice data after treatment is carried out to Digital Modulation to draw pulse width data with pseudo-sampling method using sawtooth waveforms as carrier wave;
Step 4: described pulse width data is carried out to noise shaping processing, the low level of the pulse width data that cutting obtains after step 3 Digital Modulation, and by a filter in order to the noise producing after low level amputation is carried out to shaping;
Step 5: to carrying out generating a pulse width signal through described pwm signal generation module through the pulse width data of step 4 processing.
6. pulse duration modulation method according to claim 5, is characterized in that described interpolation multiple is 8.
7. pulse duration modulation method according to claim 6, it is characterized in that the low-pass filtering parameter described in step 2 is: band connection frequency Fpass is 19Khz, stop-band frequency Fstop is 23Khz, minimum pass band damping is that Apass is 0.001dB, minimum stop-band attenuation is that Astop is 100dB (16 precision), and sample frequency is Fs=352.8Khz.
8. pulse duration modulation method according to claim 5, is characterized in that described sawtooth waveforms is rear sawtooth waveforms.
CN201410330486.5A 2014-07-11 2014-07-11 Class-D audio frequency amplifier and pulse width modulation method thereof Pending CN104104344A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201410330486.5A CN104104344A (en) 2014-07-11 2014-07-11 Class-D audio frequency amplifier and pulse width modulation method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201410330486.5A CN104104344A (en) 2014-07-11 2014-07-11 Class-D audio frequency amplifier and pulse width modulation method thereof

Publications (1)

Publication Number Publication Date
CN104104344A true CN104104344A (en) 2014-10-15

Family

ID=51672200

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201410330486.5A Pending CN104104344A (en) 2014-07-11 2014-07-11 Class-D audio frequency amplifier and pulse width modulation method thereof

Country Status (1)

Country Link
CN (1) CN104104344A (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105827256A (en) * 2016-04-11 2016-08-03 河海大学常州校区 Mobile GSM (Global System for Mobile Communication) and GSM-R (GSM for railways) interference inhibition system and method based on digital filtering
CN108649935A (en) * 2018-05-03 2018-10-12 成都黎声科技有限公司 A kind of system and method that digital audio and video signals are directly modulated into PWM waveform
CN110896305A (en) * 2018-09-12 2020-03-20 半导体元件工业有限责任公司 Pulse width demodulator
CN111800726A (en) * 2020-06-28 2020-10-20 荣成歌尔电子科技有限公司 Remote signal transmission device and remote signal transmission system

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060145755A1 (en) * 2004-12-31 2006-07-06 Princeton Technology Corporation Square wave modulation design for a class-D audio amplifier
US20060250183A1 (en) * 2005-05-09 2006-11-09 Texas Instruments Incorporated Systems and methods for driving an output transistor
US20080143436A1 (en) * 2006-12-15 2008-06-19 Bin Xu All-digital class-d audio amplifier
CN101485082A (en) * 2006-07-07 2009-07-15 Nxp股份有限公司 Class D audio amplifier
CN101662262A (en) * 2008-08-25 2010-03-03 台湾积体电路制造股份有限公司 Class d amplifier control circuit and method

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060145755A1 (en) * 2004-12-31 2006-07-06 Princeton Technology Corporation Square wave modulation design for a class-D audio amplifier
US20060250183A1 (en) * 2005-05-09 2006-11-09 Texas Instruments Incorporated Systems and methods for driving an output transistor
CN101485082A (en) * 2006-07-07 2009-07-15 Nxp股份有限公司 Class D audio amplifier
US20080143436A1 (en) * 2006-12-15 2008-06-19 Bin Xu All-digital class-d audio amplifier
CN101662262A (en) * 2008-08-25 2010-03-03 台湾积体电路制造股份有限公司 Class d amplifier control circuit and method

Non-Patent Citations (5)

* Cited by examiner, † Cited by third party
Title
LUO SHUMENG 等: "A digital input class-D audio amplifier with sixth-order PWM", 《JOURNAL OF SEMICONDUCTORS》 *
WAN-RONE LIOU 等: "Integrated low-voltage filter-less class-D Audio power amplifier with PWM DC/DC buck converter", 《2010 INTERNATIONAL CONFERENCE ON COMMUNICATIONS, CIRCUITS AND SYSTEMS(ICCCAS)》 *
于泽琦: "数字音频D类功放的误差在线校正方法", 《中国优秀硕士学位论文全文数据库 信息科技辑》 *
徐景: "D类音频功率放大器的研究与设计", 《中国优秀硕士学位论文全文数据库 信息科技辑》 *
陈源: "用于数字音频放大器的预失真算法", 《中国优秀硕士学位论文全文数据库 信息科技辑》 *

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105827256A (en) * 2016-04-11 2016-08-03 河海大学常州校区 Mobile GSM (Global System for Mobile Communication) and GSM-R (GSM for railways) interference inhibition system and method based on digital filtering
CN108649935A (en) * 2018-05-03 2018-10-12 成都黎声科技有限公司 A kind of system and method that digital audio and video signals are directly modulated into PWM waveform
CN110896305A (en) * 2018-09-12 2020-03-20 半导体元件工业有限责任公司 Pulse width demodulator
CN110896305B (en) * 2018-09-12 2024-05-28 半导体元件工业有限责任公司 Pulse Width Demodulator
CN111800726A (en) * 2020-06-28 2020-10-20 荣成歌尔电子科技有限公司 Remote signal transmission device and remote signal transmission system

Similar Documents

Publication Publication Date Title
EP0890221B1 (en) Conversion of a pcm signal into a upwm signal
CN104104344A (en) Class-D audio frequency amplifier and pulse width modulation method thereof
CN102195615B (en) Control loop circuit
CN108832917A (en) It is a kind of for exempting from the spread spectrum modulation technique of filtering figure D class audio frequency power amplifier
CN102739207B (en) For generating the system and method for pulse-width signal
CN100472946C (en) Digital power amplifier adapted for low-voltage carrier communication
Goldberg et al. New high accuracy pulse width modulation based digital-to-analogue convertor/power amplifier
WO2003077414A3 (en) Power amplifier
Bolotov et al. EER power amplifier modulator efficiency improvement using PWM with additional sigma-delta modulation
CN101390287A (en) Digital PWM amplifier with simulation-based feedback
JP2009524951A (en) System and method for improving the performance of a digital amplifier by adding an ultrasonic signal to an input audio signal
DE60235127D1 (en) METHOD AND SYSTEM FOR BROADBAND PRE-DECAYING LINEARIZATION
Gustavsson et al. An RF carrier bursting system using partial quantization noise cancellation
CN110957983A (en) Three-frequency pseudorandom variable spread spectrum modulation method and filtering-free pulse width modulator constructed by same
CN104639481A (en) Multi-band signal processing method and equipment
CN209184565U (en) A kind of current detection circuit of loudspeaker
CN101505139A (en) Digital linear pre-distortion method and system
CN104601273B (en) A kind of voice signal interference device
CN102098608A (en) Parametric acoustic array audio playback system and modulation method thereof
CN204362000U (en) One carries out gain frequency doubling attenuation circuit to input signal
Sun et al. An accurate complexity-reduced simplified Volterra series for RF power amplifiers
CN204408612U (en) A kind of pwm signal change-over circuit
CN100508400C (en) Digital/analog converter circuit with a device for compensating nonlinear distortions
Li et al. Design of a self-oscillating PWM signal generator with a double integration loop
CN109756193B (en) Class D digital audio power amplifier system using spread spectrum modulation for PWM wave modulation

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20141015