CN103959918A - Distributed multi-zone plasma source system, method and apparatus - Google Patents

Distributed multi-zone plasma source system, method and apparatus Download PDF

Info

Publication number
CN103959918A
CN103959918A CN201280056601.3A CN201280056601A CN103959918A CN 103959918 A CN103959918 A CN 103959918A CN 201280056601 A CN201280056601 A CN 201280056601A CN 103959918 A CN103959918 A CN 103959918A
Authority
CN
China
Prior art keywords
plasma
chamber
process chamber
source
sources
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201280056601.3A
Other languages
Chinese (zh)
Other versions
CN103959918B (en
Inventor
阿里·沙基
理查德·戈特朔
苏海勒·本泽若克
安德鲁·考
西德哈斯·P·纳加卡蒂
威廉·R·安特里
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/649,103 external-priority patent/US10283325B2/en
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN103959918A publication Critical patent/CN103959918A/en
Application granted granted Critical
Publication of CN103959918B publication Critical patent/CN103959918B/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/06Sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

Broadly speaking, the present invention fills these needs by providing a distributed multi-zone plasma source. It should be appreciated that the present invention can be implemented in numerous ways, including as a process, an apparatus, a system, computer readable media, or a device. Several inventive embodiments of the present invention are described below. One embodiment provides a processing chamber including multiple plasma sources in a process chamber top. Each one of the plasma sources is a ring plasma source including a primary winding and multiple ferrites. Multiple plasma chamber outlets can couple a plasma chamber of each one of the plasma sources to the process chamber.

Description

The system of distributed multi-region plasma source, method and apparatus
Technical field
Present invention relates in general to plasma-reaction-chamber, and more particularly, relate to method, system and equipment that plasma-reaction-chamber and wafer processing chamber separate.
Background technology
Figure 1A is the end view of typical parallel plate capacitor formula plasma processing chamber 100.Figure 1B is the vertical view of the substrate 102 of processing in typical parallel plate capacitor formula plasma processing chamber 100.Typical plasma processing chamber 100 comprises top electrode 104, for supporting the substrate support of processed substrate 102 106.Substrate support 106 can also be hearth electrode.Top electrode 104 normally has the head-type electrode of a plurality of entrances 109.A plurality of entrances 109 allow processing gas 110 to enter through the width of process chamber 100.
Typical parallel plate capacitor formula plasma reactor 100 is for the treatment of circular plane substrate.Common processes is dielectric etch and other etch processs.Conventionally there is neutral substance intrinsic inhomogeneities from center to edge in this plasma reactor.
Although these systems are effective, but flow velocity, the available gas time of staying and one or more gas chemistries of the one or more and marginal existence of the flow velocity, the available gas time of staying and one or more gas chemistries that exist due to center at substrate are compared and be there are differences, thus the neutral gas species of some systems can generation center to the inhomogeneities at edge.One or more gas chemistries can be produced by gas phase dissociation, displacement and recombining reaction.
As an example, along with processing gas and be guided through the width of process chamber, between top electrode 104 and hearth electrode 106, form plasma 112, and plasma formation.By the free radical of plasma 112 and the surface of neutral substance and substrate 102, react and formed plasma byproducts 118.Plasma byproducts 118 is pumped to the side of substrate and is pumped in pump 108.Plasma byproducts can comprise one or more dissociation reaction things (for example, CF4+e -→ CF3+F+e -) and/or one or more ions (for example, CF4+e -→ CF3 +and/or one or more excimers (for example, Ar → Ar+F)) ++ e -) and/or one or more adjuncts (for example, CF4+e -→ CF3+F -) and/or one or more binary reaction things (for example, CF3+H → CF2+HF).
Plasma byproducts 118 can also comprise etch byproducts, and etch byproducts comprises etchant, F, CFx, SiF2, SiF4, Co, CO2.Etch byproducts can also be in plasma 112 dissociation.
During plasma treatment, also can recombinate.Restructuring produces recombinant products 120.When impacting bottom surface surperficial of top electrode 104 for example, the free radical from plasma 112 and neutral substance typically recombinate.Then recombinant products 120 is extracted to pump 108 from the side of substrate 102, is similar to plasma byproducts 118.Plasma recombinant products 120 can comprise one or more walls or surface reaction (for example, F+CF → CF2 and/or H+H → H2 and/or O+O → O2 and/or N+N → N2).Plasma recombinant products 120 can also comprise that CFx forms the deposition of polymer on the wall of process chamber 100 or other inner surfaces.
Should be noted that, as shown in Figure 1A, just to object clearly, plasma byproducts is extracted out from a side of substrate 102, and recombinant products 120 is extracted out from the opposite side of substrate 102.In practical operation, those skilled in the art will recognize that recombinant products 120 and plasma byproducts 118 are mixed mutually and be extracted to pump 108 or other devices from the both sides of substrate 102.
Along with the carrying out of plasma treatment, the concentration of recombinant products 120 and plasma byproducts 118 changes to edge from substrate 102 center.Therefore, the concentration of processing gas, free radical and the neutral substance in plasma 112 also can correspondingly change.Therefore, effectively plasma treatment (being etching in this case) changes to edge from substrate 102 center.Yet, have multiple process chamber configuration and structure can be used in and reduce or control plasma.
Because these are controlled, the free radical of plasma and neutral substance major part concentrate on the plasma treatment district 114A of core 102A top of substrate 102 and the substrate 102 center in 116A.In addition, the concentration of free radical and neutral substance less concentrates on intermediate plasma treatment region 114B and the 116B of the mid portion 102B top of substrate 102 to a certain extent.Moreover the concentration of free radical and neutral substance is more sparse and less concentrate on edge plasma treatment district 114C and the 116C of the marginal portion 102C top of substrate 102.
Therefore, center plasma treatment district 114A and the 116A plasma treatment of the core 102A top of substrate 102 occur the fastest, the intermediate plasma treatment region 114B of the mid portion 102B top of substrate 102 and 116B plasma treatment occur slightly slow, and the edge plasma treatment district 114C of the marginal portion 102C of substrate top and 116C plasma treatment occur slower.This causes substrate 102 center to the inhomogeneities at edge.
Increase the weight of in the very large small size product of the ratio of width to height plasma processing chamber to the inhomogeneities at edge at this center.For example, very large the ratio of width to height is defined as approximately four times or more times of height H that the width W of substrate is plasma treatment district.The plasma treatment district of very large the ratio of width to height further concentrates plasma byproducts 118 and recombinant products 120 in plasma treatment district 114A to 116C.
Although this center of neutral substance is to the inhomogeneities Bu Shi center at edge to unique inducement of the inhomogeneities of the processing at edge, in many dielectric etch application, it is important influencing factor.Especially, such as neutral dependence such as photoresist lift off, high selectivity contact/unit and through hole etching etc. on door or bit line mask open, low-k film, process these impacts especially responsive.Except for the etched plasma reactor of wafer electric medium, similarly problem is also present in other parallel-plate plasma reactor.
In view of the above problems, need to improve plasma etch process Zhong center to the inhomogeneities at edge.
Summary of the invention
In general, the present invention is by providing a kind of distributed multi-region plasma source to meet these demands.Should be understood that, the present invention may be embodied as various ways, comprises process, device, system, computer-readable medium or equipment.Creative embodiment more of the present invention are below described.
An embodiment provides a kind of process chamber that is included in a plurality of plasma sources at process chamber top.Each in described plasma source is to comprise winding and a plurality of ferritic toroidal plasma sources.
The outlet of a plurality of plasma chambers can be coupled to described process chamber by each the plasma chamber in described a plurality of plasma sources.Described plasma source can be arranged at least one in rectangular array, linear array or non-Concentric circle array.Described process chamber can also comprise at least one processing gas access, and each in described a plurality of plasma source is coupled to by processing gas source in described at least one processing gas access.
Described a plurality of ferrite distributes substantially equably around each the circumference in described plasma source.Each in described plasma source is by circular, a kind of in triangle, substantial rectangular or polygon forms substantially shape in groups substantially substantially.
Each plasma source can have substantially the same shape or different shapes.Each plasma source can have substantially the same size or different sizes.Each of described plasma source is separated with certain separation distance and residue plasma source.Each separation distance can be equal separation distance substantially.Alternately, each separation distance can be different separation distances substantially.Each of described a plurality of plasma sources is coupled to controller and primary current source.
Another embodiment provides a kind of method that produces plasma, and described method comprises: transmission process gas is in selected one in a plurality of plasma sources; Supply primary current is to the outside corresponding winding around described selected plasma source; In a described winding, produce magnetic field; Use a plurality of ferrites in described selected plasma source to concentrate described magnetic field; In described processing gas in the plasma chamber of described selected plasma source, respond to secondary current; And use in the described processing gas of described secondary current in the described plasma chamber of described selected plasma source and produce plasma.
Described method can also comprise by a plurality of and goes out at least one in port transmission neutral substance and free radical material to process chamber.Described a plurality of outlet is coupled to process chamber by described plasma chamber.Described method can also comprise by a plurality of outlets at process chamber top removes at least one plasma byproducts and recombinant products from described process chamber.At least one in described outlet is positioned at the position at the center substantially at described process chamber top.Described ferrite distributes substantially equably around the circumference of described peripheral plasma chamber.Described method can also comprise from least one process monitoring transducer receiving course feedback signal and regulate at least one at least one set-point described a plurality of plasma source.Described method can also comprise with respect to the substrate support in described process chamber and moves at least one in described a plurality of plasma source.
Another embodiment provides a kind of plasma process system that comprises a plurality of plasma sources that are arranged on process chamber top.Each in described plasma source comprises peripheral plasma chamber, around an outside winding and a plurality of ferrite of described peripheral plasma chamber.Peripheral plasma chamber is through each ferrite.A plurality of plasma chamber outlets are coupled to described process chamber by each peripheral plasma chamber.At least one process monitoring transducer and controller are included.Controller comprises: the logic for transmission process gas to described peripheral plasma chamber; For supplying the logic of primary current to the outside described winding around described peripheral plasma chamber; For producing the logic in magnetic field at a described winding; For using described a plurality of ferrite to concentrate the logic in described magnetic field, wherein said peripheral plasma chamber through described a plurality of ferritic each; For respond to the logic of secondary current in the described processing gas of described peripheral plasma chamber; For using described secondary current to produce the logic of plasma in the described processing gas of described peripheral plasma chamber; For the logic from least one process monitoring transducer receiving course feedback signal; And for regulating at least one the logic of at least one set-point of described a plurality of plasma sources.
Another embodiment provides a kind of plasma system for the treatment of substrate again, described plasma system comprises: process chamber, and described process chamber has base plate, a plurality of sidewall, approaches the substrate support of described base plate and intersect to seal the process chamber top of described process chamber with described sidewall; Be arranged on a plurality of plasma sources of described process chamber over top, described a plurality of plasma source is distributed on the region of described substrate support, at least extend between the outside of described substrate support and the central part of described substrate support in described region.
By reference to the accompanying drawings, from illustrating that by way of example the following detailed description of principle of the present invention can understand other aspects of the present invention and advantage.
Accompanying drawing explanation
By reference to the accompanying drawings, from following detailed description, can easily understand the present invention.
Figure 1A is the end view of typical parallel plate capacitor formula plasma processing chamber.
Figure 1B is the vertical view of the substrate processed in typical parallel plate capacitor formula plasma processing chamber.
Fig. 2 A is the perspective view of plasma source according to an embodiment of the invention.
Fig. 2 B is the vertical view of plasma source according to an embodiment of the invention.
Fig. 2 C is the cutaway view of the 2C-2C of plasma source according to an embodiment of the invention.
Fig. 2 D is the perspective cut-away schematic view of plasma source according to an embodiment of the invention.
Fig. 2 E is the perspective view that is arranged on according to an embodiment of the invention the plasma source on process chamber.
Fig. 2 F and Fig. 2 G are the extra perspective views that is arranged on according to an embodiment of the invention the plasma source 200 on process chamber.
Fig. 2 H is another perspective view that is arranged on according to an embodiment of the invention the plasma source on process chamber 230.
Fig. 2 I is a plurality of cutaway views that plasma chamber exports according to an embodiment of the invention.
Fig. 2 J is the process chamber view of a plurality of according to an embodiment of the invention plasma chamber outlets.
Fig. 3 A is the perspective view of another plasma source according to an embodiment of the invention.
Fig. 3 B is the birds-eye perspective of multi-region plasma source according to an embodiment of the invention.
Fig. 3 C is the face upwarding view of multi-region plasma source according to an embodiment of the invention.
Fig. 3 D is the birds-eye perspective of another multi-region plasma source according to an embodiment of the invention.
Fig. 3 E is the face upwarding view of multi-region plasma source according to an embodiment of the invention.
Fig. 4 A and Fig. 4 B are the rough schematic views of multi-region plasma source according to an embodiment of the invention.
Fig. 5 is flow velocity and the tonogram of the optional plasma restriction of sizes according to an embodiment of the invention.
Fig. 6 A is the schematic diagram of exemplary transformer according to an embodiment of the invention.
Fig. 6 B is the individual pen ferrite in plasma source and the schematic diagram of plasma chamber according to an embodiment of the invention.
Fig. 7 is the individual pen ferrite in multi-region plasma source and the electrical schematic diagram of plasma chamber according to an embodiment of the invention.
Fig. 8 is the electrical schematic diagram of power supply according to an embodiment of the invention.
Fig. 9 A to Fig. 9 C is the flow rate curve chart of plasma source flow rate according to an embodiment of the invention.
Figure 10 is the flow chart that illustrates the method operation of carrying out in the operation of plasma source as herein described according to an embodiment of the invention.
Figure 11 is the block diagram that comprises according to an embodiment of the invention the integrated system of one or more plasma sources as herein described.
Figure 12 A is the vertical view of multi-region plasma source according to an embodiment of the invention.
Figure 12 B is the vertical view of multi-region plasma source according to an embodiment of the invention.
Figure 12 C is the vertical view of multi-region plasma source according to an embodiment of the invention.
Figure 12 D is the vertical view of multi-region plasma source according to an embodiment of the invention.
Figure 13 is the flow chart that illustrates the method operation of carrying out in the operation of plasma source according to an embodiment of the invention.
Embodiment
To several exemplary embodiments of distributed multi-region plasma origin system, method and device be described now.Those skilled in the art will be appreciated that, in the situation that do not have some or all detail of setting forth can implement the present invention herein.
Fig. 2 A is the perspective view of plasma source 200 according to an embodiment of the invention.Plasma source 200 comprises processes gas access 206, a plurality of ferrite 204,208He Shi top, plasma source top 202.Should be appreciated that and can modify to the concrete structure of the element 202 to 208 of illustrated plasma source 200.For example, plasma source top 208He Shi top 202 can combine with the single lid of process chamber 230.
Fig. 2 B is the vertical view of plasma source 200 according to an embodiment of the invention.Fig. 2 C is the cutaway view of the 2C-2C of plasma source 200 according to an embodiment of the invention.Fig. 2 D is the perspective cut-away schematic view of plasma source 200 according to an embodiment of the invention.Fig. 2 E is the perspective view that is arranged on according to an embodiment of the invention the plasma source 200 on process chamber 230.Processing gas plenum chamber 212 is illustrated as from processing the distributed plenum chamber of the processing gas of gas access 206 supplies.
Process gas 110 and flow into entrance 206 to enter processing gas plenum chamber 212.Process gas plenum chamber 212 allocation process gases 110 in entrance 212A.Entrance 212A will process gas 110 and import plasma chamber 210.Process gas access 212A and can aim at or depart from plasma chamber outlet 220.Process that gas access 212A and/or plasma chamber outlet 220 can be aimed between ferrite 204 or with ferrite or their combination.
The interval parcel plasma chamber 210 of ferrite 204 to select.Ferrite 204 centered magnetic fields make enough strong near the electric field at each ferrite center, thereby are enough to be supported in the plasma of the corresponding points of plasma chamber 210.
Ferrite 204 is illustrated as and is essentially square, and still, as shown below, ferrite can be other shapes.Ferrite 204 is illustrated as by a plurality of part 224A, 224B, 224C and 224D and forms, and still, ferrite can be made by one or more parts.A plurality of ferrite part 224A, 224B, 224C and 224D are substantially together adjacent according near the requirement of centered magnetic field each ferrite 204 center.Ferrite 204 is illustrated as around process chamber top 202 and distributes.Process chamber 230 has sidewall 230' and base plate 230''.Substrate support 106 on base plate 230'' near or close this base plate.
Plasma chamber outlet 220 is illustrated as the process chamber 230 that plasma chamber 210 is coupled to 202 belows, process chamber top.Plasma chamber outlet 220 transmission are from the plasma of plasma chamber 210 and/or free radical and/or neutral substance and be transferred in process chamber 230.
Also show optional plasma restriction 214.Optional plasma restriction 214 can be for providing pressure reduction required between plasma chamber 210 and process chamber 230.Optional plasma restriction 214 can also be enough little and/or be biased and makes substantially to prevent that plasma from entering process chamber 230 from plasma chamber 210.In addition, plasma restriction can be biased extract ion and will ion suction process chamber, then be fed on wafer from plasma chamber 210.As an example, the diameter of optional plasma restriction 214 can be less than or equal to the twice of plasma sheath thickness, so plasma sheath can prevent that plasma from passing optional plasma restriction.The optional plasma restriction 214 selected diameters (for example, 0.1mm, 0.2mm, 0.5mm, 1.0mm, 2.0mm) that can have between about 0.1mm and about 2.0mm.Should be noted that, the length-width ratio of optional plasma restriction 214 can be for regulating the usefulness of plasma restriction.As an example, the plasma of high aspect ratio (that is, length/width) restriction 214 can limit plasma substantially, and the impact of the conveying of centering material or free radical material is minimum simultaneously.It is to be further understood that and can also use larger-diameter outlet opening.As an example, optional plasma restriction 214 can be omitted, and effectively restriction is the width of plasma chamber outlet 220.The width of plasma chamber outlet 220 is can be substantially enough wide to allow both equipressures substantially of plasma chamber 210 and process chamber 230.
Fig. 2 I is that plasma chamber exports a plurality of cutaway views of 220 according to an embodiment of the invention.Fig. 2 J is the process chamber view of a plurality of according to an embodiment of the invention plasma chamber outlets 220.Plasma chamber outlet 220 can be the substantially columniform of the substantial rectangular cross sectional shape that leads directly to, have required width.Plasma chamber outlet 220 can comprise optional coniform shape 220A.Optional coniform shape 220A can export 220 from plasma chamber level and smooth stream and/or distributed flow are provided.Plasma chamber outlet 220 can also comprise the shape that other are optional.As an example, plasma chamber outlet 220 can comprise wider identical shaped 220B or narrower identical shaped 220F.Plasma chamber outlet 220 can comprise optional curved surface or bowl-type outlet 220C, 220E.The outlet of optional curved surface or bowl-type 220C, 220E can have opening at the widest some place, for example, and outlet 220C, or there is opening being less than the narrowest some place of wide point, for example, outlet 220E.Optional coniform shape can be frusto-conical shape 220D.
The restriction of optional plasma can be substantially along the centralized positioning of the length of outlet 220, for example, optional plasma restriction 214.Alternately, the restriction of optional plasma can be located substantially on outlet 220 in the end of plasma chamber 210, optional plasma restriction 214' for example.Alternately, the restriction of optional plasma can be located substantially on outlet 220 in the end of process chamber 230, for example, optional plasma restriction 214''.Should be understood that, optional plasma restriction 214 can be along the location, any position in the length of the outlet 220 between the end of process chamber 230 of the end at plasma chamber 210 between outlet 220 and outlet 220.
As shown in Fig. 2 J, plasma chamber outlet 220 can have any suitable shape.As an example, circular 220, oval 220H, substantial rectangular 220I, 220J or other geometries (for example, the polygon 220L of the side of triangle 220K, any amount) substantially substantially.Plasma chamber outlet 220 can comprise substantially sharp edges 220I, 220K, 220L or curved edge and/or side 220J, 220M, 220N substantially.Plasma chamber outlet 220 can also comprise the combination of these shapes.As an example, optional coniform shape 220A can have more oval shape 220A', rather than round-shaped 220A substantially.
Process chamber top 202 can also comprise one or more outlets 234.Low pressure source (for example, vacuum pump) is coupled in outlet 234.Outlet 234 allows low pressure source to extract plasma byproducts 118 and recombinant products 120 near process chamber 230 center.Therefore, plasma byproducts 118 and recombinant products 120 can not interfered plasma 410 in process chamber and by the neutral substance 412 of plasma generation.Process chamber top 202 can be made by multilayer material 202A to 202C.For example, at least one deck in material layer (, in 202A, 202B or 202C any one or more) can conduct electricity, and conductive layer (for example 202B) can be setovered with desired signal.Conductive layer (for example, 202B) can also be coupled to earthing potential.As a result, outlet 234 through conductive layer, (for example, at least a portion 202B) can or be coupled to earthing potential with the offset signal biasing of expectation.The biasing of expectation can contribute to free radical to draw in process chamber.
Process chamber 230 comprises load port 232 and for supporting the supporting construction of processed substrate.In process chamber 230, can also comprise other features well-known to those having ordinary skill in the art.
Fig. 2 F and Fig. 2 G are the extra perspective views that is arranged on according to an embodiment of the invention the plasma source 200 on process chamber 230.In order to describe extra details, plasma source top 208 is raised (Fig. 2 F) and is removed (Fig. 2 G).Plasma chamber 210 can be to consist of the material different from plasma source top 208 or process chamber 230.As an example, plasma chamber 210 can be pottery, and plasma source top 208 or process chamber 230 can be pottery, metal (for example, aluminium, steel, stainless steel etc.).Slit 226A and 226B are provided for and support and install ferrite 204.
As shown in Figure 2 G, ferrite 204 is illustrated as the outside of parcel plasma chamber 210.Plasma chamber 210 for example can be, by for example pottery or other dielectric substances (, quartzy, silicon dioxide (SiO 2), alundum (Al2O3) (Al 2o 3), sapphire (Al 2o 3), aluminium nitride (AlN), yittrium oxide (Y 2o 3) and/or the combination of similar material and they) and so on dielectric form.
Fig. 2 H is another perspective view that is arranged on according to an embodiment of the invention the plasma source 200 on process chamber 230.As shown in Fig. 2 H, leading body 240 is illustrated as parcel plasma chamber 210.Leading body 240 is windings for inductance element, below will in Fig. 7, describe in more detail.Leading body 240 has a circle or multi-turn around plasma chamber 210.As shown here, leading body 240 has two circles around plasma chamber 210, but more than also can using two circles.
Fig. 3 A is the perspective view of another plasma source 300 according to an embodiment of the invention.Plasma source 300 comprises plasma chamber 210, a plurality of ferrite components 204 of the interval parcel plasma chamber that plasma source 300 has to select.In this case, ferrite component 204 is with substantially equal spacing parcel plasma chamber, but described ferrite component can be with different spacing parcel plasma chambers.
Plasma chamber 210 can be circular, or is for example the geometry with five limits in this case.Similarly, plasma chamber 210 can be the geometry on circular or three or more limits.Should also be noted that plasma chamber 210 can have essentially rectangular or circular or round and smooth shape of cross section.The inner surface of plasma chamber 210 can smoothedization and not be had edge or an angle of any sharp-pointed (for example, approximately right angle or less acute angle).As an example, interior angle can have the profile of the rounding of relatively large radius (for example,, between approximately 1/2nd and about twice of the section radius of about plasma chamber).Although should also be noted that single processing gas access 206 is illustrated as is coupled to plasma chamber 210, two or more process gas accesses can regulate the flow of vital energy body to plasma chamber for activity.
Fig. 3 B is the birds-eye perspective of multi-region plasma source 320 according to an embodiment of the invention.Multi-region plasma source 320 comprises a plurality of independent concentric plasma chamber 310A to 310D, for example, is nido annular.Each of concentric plasma chamber 310A to 310D has corresponding ferrite 204A to 204D in groups.
Fig. 3 C is the face upwarding view of multi-region plasma source 320 according to an embodiment of the invention.Process chamber top 202 has a plurality of processing outlet 304A to 304E and a plurality of plasma outlet 220A to 220D.A plurality of plasma outlet 220A to 220D are coupled to corresponding plasma chamber 310A to 310D.
Fig. 3 D is the birds-eye perspective of another multi-region plasma source 330 according to an embodiment of the invention.Fig. 3 E is the face upwarding view of multi-region plasma source 330 according to an embodiment of the invention.Multi-region plasma source 330 comprises a plurality of concentric plasma chamber 310A to 310E.Each in plasma chamber 310A to 310E has corresponding ferrite 204A to 204E in groups with one heart.
As shown in the figure, the ferrite 204A to 204E of adjacent plasma chamber 310A to 310E can be overlapping a little, as shown in the 332A to 332D of region.As an example, the outer rim of the inner edge of ferrite 204B and ferrite 204A is overlapping in the 332A of region.Similarly, the inner edge of the outer rim of ferrite 204B and ferrite 204C is overlapping in the 332B of region.Overlapping ferrite 204A to 204E allows concentric plasma chamber 310A to 310E to be stacked on more closely in multi-region plasma source 330.Therefore, and compare as the only non-overlapped ferrite embodiment of four concentric ring 310A to 310D that has of Fig. 3 B as shown in Fig. 3 C, this overlay configuration allows the circle of same diameter to comprise more concentric ring 310A to 310E (for example, five concentric rings).As described below, can control separately each biasing that encircles 310A to 310E, gas flow rate, concentration, radio-frequency power etc.Therefore, the concentric ring 310A to 310E of greater number provides the processing on the diameter of the substrate 102 of controlling more subtly in process chamber 230.
Ferrite 204A to 204E is optionally arranged to a plurality of radial sections (that is, fan shape) 334A to 334L of multi-region plasma source 330.As described below, can control separately biasing, gas flow rate, concentration of each radial section 334A to 334L etc.Therefore, radial section 334A to 334L provides and another kind of controls subtly the processing that substrate 102 footpaths in process chamber 230 make progress.
Fig. 4 A and Fig. 4 B are the rough schematic views of multi-region plasma source 300,320 according to an embodiment of the invention.Process chamber top 202 comprises multi-region plasma source 300,320.Process chamber 230 has sidewall 230' and base plate 230''.Substrate support 106 on base plate 230'' near or close this base plate.Process outlet 304A to 304E and in the width W that is substantially equal to substrate 102, extract plasma byproducts 118 and recombinant products 120.Therefore, plasma byproducts 118 and recombinant products 120 can not interfered plasma 410 and by the neutral substance 412 of plasma generation.Therefore neutral substance 412 is evenly distributed on the width of substrate 102 substantially.Neutral substance 412 reacts with the surface of substrate 102.Because neutral substance 412 is evenly distributed on the width of substrate 102 substantially, for example, so also substantially eliminated in process chamber 230 center of the plasma treatment that adopts (, etching, peel off or other plasma treatment) to the inhomogeneities at edge.
Controller 420 comprises the corresponding controller 422A to 422E (for example, software, logic, set-point, formula etc.) of each ring 310A to 310E.Process detecting sensor 424,426 and can also be coupled to controller 420 so that processing feedback to be provided.Controller 422A to 422E can control each ring 310A to 310E individually, for example, and pressure, flow velocity and the concentration of offset signal, power, frequency, processing gas 110.This provides on the diameter of the substrate 102 of process chamber 230 radial section of dissociating gas has been controlled.
Each in a plurality of plasma chamber 310A to 310E can be controlled with the processing in the corresponding region of control process chamber 230 separately.
Similarly, each in a plurality of radial section 334A to 334L allows each radial section in a plurality of plasma chamber 310A to 310E to be controlled with the processing in the corresponding region of control process chamber 230 separately.As an example, the flow velocity of processing gas 110 in plasma chamber 310B and the variable set-point of the technique of pressure are transfused in corresponding controller 422B.At least one in process monitoring transducer 424,426 provides process measurement to be input to corresponding controller 422B.Process measurement input based on from process monitoring transducer 424,426 and logic and software, then corresponding controller 422B exports the set-point of revision of radio-frequency power to flow velocity and the pressure of the processing gas 110 in ferrite 310B and output plasma chamber 310B.
The processing of each of the regional that similarly, one or more in the concentric ring plasma chamber 310A to 310E by multi-region plasma source 200,300,310,320,330 and/or ferrite 204A to 204E and/or radial section 334A to 334L or their combination limit can be monitored and/or be controlled.Should be understood that, each of these districts can, according to identical mode and set-point work, make in multi-region plasma source 200,300,310,320,330Dan district plasma source effective.In addition, some districts of multi-region plasma source 200,300,310,320,330 can, according to identical mode and set-point work, make multi-region plasma source have less district.
Fig. 5 is the flow velocity of optional plasma restriction 214 of sizes according to an embodiment of the invention and the curve chart of pressure.Curve chart 510 is that diameter is the flow velocity of the optional plasma restriction 214 of 0.2mm, and unit is standard cubic centimeter (SCCM) per minute.Curve chart 520 is that diameter is the flow velocity of the optional plasma restriction 214 of 0.5mm.Curve chart 530 is that diameter is the flow velocity of the optional plasma restriction 214 of 1.0mm.Can find out optional plasma restriction 214 pressure drops that can determine between plasma chamber 210 and process chamber 230 of different size.If pressure drop makes to produce choked flow in plasma restriction 214, during the constant pressure when plasma chamber 210 in, along with the pressure in process chamber 230 reduces, the mass flowrate of inflow process chamber 230 can not increase so.
Pressure increase in plasma chamber 210 makes the density of processing gas 110 be enough to support the plasma in plasma chamber.For fixing radio-frequency voltage, need the electric current in sensed processing gas 110 to be inversely proportional to processing gas pressure.Therefore, the increase of the pressure of the processing gas 110 in plasma chamber 210 has reduced to produce the required electric current of plasma.In addition, because plasma need to be processed gas pressure and supports plasma, so plasma is known from experience, be accommodated in plasma chamber 210 and not and can flow into process chamber 230 from plasma chamber.Therefore, plasma restriction 214 can confine a plasma in plasma chamber 210.
Transformer has winding and secondary winding.The primary current that flows through a winding produces magnetic field.Because magnetic field is through secondary winding, so in the corresponding sensed secondary winding of secondary current.The transformer with FERRITE CORE makes magnetic field concentration (that is, focusing on) become less, stronger magnetic field, and therefore more effectively in secondary winding, responds to secondary current.This allow low frequency operation very efficiently (for example, be less than about 13MHz, and more specifically at about 10kHz and be less than between about 5MHz, and more specifically at about 10kHz and be less than between about 1MHz).For example, than typical high-frequency radio frequency plasma system (, the frequency of about 13.56MHz and Geng Gao), low frequency operation also has significantly lower cost.
The ferritic additional advantage of low frequency that is coupled to plasma system is that it has lower ion bombardment energy, and this obtains the particle on less plasma etching and wafer still less than high-frequency radio frequency system.Plasma etching still less causes the wearing and tearing of surface, plasma chamber 210 and assembly and loss still less.
Fig. 6 A is the schematic diagram of exemplary according to an embodiment of the invention transformer 600.From power supply supply primary current I pto a winding 620.Primary current I pflow through a winding 620 and in ferrite 204, produce magnetic field 622.Magnetic field 622 occurs and secondary winding, responds to secondary circuit I from the ferrite at secondary winding 630 center s.
Fig. 6 B is the individual pen ferrite 204 in plasma source 200,300,310,320,330 and the schematic diagram of plasma chamber 210 according to an embodiment of the invention.Fig. 7 is the individual pen ferrite 204 in plasma source 200,300,310,320,330 and the electrical schematic diagram 700 of plasma chamber 210 according to an embodiment of the invention.In plasma source 200,300,310,320,330 as herein described, winding 240 each plasma chamber 210 of parcel and be wrapped in ferrite in groups 204 (204A to the 204E) inside of each correspondence.Secondary winding is the processing gas 110 of plasma chamber 210 inside.
Primary current I pfrom power supply 702, be applied in a winding 240.Power can radio-frequency power (for example, about 10kHz is to about 1MHz or larger, or at about 10kHz between about 5MHz, or at about 10kHz to being less than between about 13MHz).Primary current I pflow through a winding 240 and in ferrite 240, produce magnetic field 622.Secondary circuit I is responded in magnetic field 622 in the processing gas 110 of plasma chamber 210 inside s.Therefore, process gas and encouraged fully to form plasma 410.
Fig. 8 is the electrical schematic diagram of power supply 702 according to an embodiment of the invention.Power supply 702 comprises rectifier 804, for the AC power of power supply 802 is changed into DC power supply.Filtering is carried out in the output of 808 pairs of rectifiers 804 of filter.The direct current filtering is transported to inverter 810 from filter 808.Inverter 810 changes into the direct current of filtration the AC signal of expected frequency, voltage and current.Resonant circuit 812 mates resonance with plasma chamber load 814, so that the AC signal of transmitting efficiently expectation with resonance manner is to load.
Controller 820 is controlled power supply 702.Controller 820 comprises user interface 822, and this user interface can comprise the link (for example, network) that is connected to system controller or larger area control system (not shown).Controller 820 be directly coupled to element 804,808,810,812 and by transducer 806A, 806B, 806C for monitoring and control its operation.As an example, one or more in voltage, electric current, power, frequency and the phase place of the power supply signal in controller 820 monitoring power supplys 702.
Fig. 9 A to Fig. 9 C is the flow rate curve chart of the flow rate of plasma source 300,310,320,330 according to an embodiment of the invention.Free radical and neutral substance stream 902 are illustrated as with almost fan shape and flow towards substrate 102 from plasma chamber 304A to 304F.Fan shape starts and expands along with approaching wafer 102 in outlet 220.The gas that flows through plasma chamber 304A to 304F has flow rate Q and pressure P s.Pressure P c is the pressure in process chamber 230.Pressure reduction between Ps and Pc allows free radical and neutral substance stream 902 to expand towards wafer 102.
Referring now to Fig. 9 B, the concentration 920 of free radical and neutral substance stream 902 is functions of the height H of distance L between outlet 220 and process chamber 230.If the distance L of outlet between 220 is too large, can exist so the concentration 920 of free radical and neutral substance stream 902 to be not enough to the region 904 that the surface with wafer 102 reacts.Similarly, if the height H of process chamber 230 is too little, can exist so the concentration 920 of free radical and neutral substance stream 902 to be not enough to the region 904 that the surface with wafer 102 reacts.Fig. 9 C shows the ideal relationship between height H and distance L, as shown below:
R=R(x,H,L)
Wherein: R (x)=(n total-n 0)/n 0
And n total ( x ) = Σ i n i
If distance L approximates greatly height H/2, can make so free radical in wafer surface and the change in concentration of neutral substance minimize.Alternately, the correlation that increases or reduce distance L and height H can allow free radical in wafer surface and the change in concentration of neutral substance.
Figure 10 is the flow chart that illustrates the method operation of carrying out in the operation of plasma source 200,300,310,320,330 according to an embodiment of the invention.Illustrated operation herein is just given an example, and should be understood that, certain operations can have child-operation, and under other examples, illustrated operation can not comprise some operation as herein described.Accordingly, describing method and operation 1000 now.
In operation 1005, process gas 110 and be transported to plasma chamber 210.In operation 1010, process gas 110 and in plasma chamber 210, maintain the first pressure.The first pressure can equal to be coupled to plasma chamber outlet in groups 220 process chamber 230 pressure or up to the twice of this pressure or more times.
In operation 1015, primary current I pbe applied on a winding 240 of the periphery of wrapping up plasma chamber 210.In operation 1020, primary current I pproduce magnetic field.In operation 1025, one or more ferrites 204 make magnetic field concentration to the core that approaches plasma chamber 210.Ferrite 204 is formed on plasma chamber 230 around.
In operation 1030, in the processing gas 110 of magnetic field in plasma chamber 210, respond to secondary current I s.In operation 1035, secondary current I sin processing gas 110 in plasma chamber 210, produce plasma.In operation 1040, the free radical of a part of plasma and plasma generation and neutral substance pass plasma chamber outlet 220 and enter process chamber 230 from plasma chamber 210.
In operation 1045, free radical and neutral substance and substrate 102 and process chamber 230 react to produce plasma byproducts 118 and recombinant products 120.In operation 1050, plasma byproducts 118 and recombinant products 120 are extracted out from process chamber by one or more processing outlet 304A to 304E.One or more processing outlet 304A to 304E are distributed in (for example, in the base plate of process chamber) on the surface at process chamber top 202 or along the edge of substrate support 106 or below substrate support and distribute or their combination, and the operation of described method can finish.
Figure 11 is the block diagram that comprises according to an embodiment of the invention the integrated system 1100 of plasma source 200,300,320.Integrated system 1100 comprises plasma source 200,300,320 and the integrated system controller 1110 that is coupled to plasma source.Integrated system controller 1110 comprises or is coupled to (for example, via wired or wireless network 1112) user interface 1114.User interface 1114 provides the readable output of user and sign and can receive user's input and provide user interface to integrated system controller 1110.
Integrated system controller 1110 can comprise special-purpose computer or all-purpose computer.Integrated system controller 1110 can for example programme 1116, to monitor, to control, to collect and to store the data 1118 (, the analysis of performance histories, performance or defect, operator's daily record and history etc.) of plasma source 200,300,320 by object computer.As an example, for example, if the operation of the data of collecting indication article on plasma body source 200,300,320 and/or element wherein (one of concentric ring plasma chamber 310A to 310E or ferrite 204 (204A to 204E)) regulates, integrated system controller 1110 can regulate this operation so.
Figure 12 A is the vertical view of multi-region plasma source 1200 according to an embodiment of the invention.Figure 12 B is the vertical view of multi-region plasma source 1260 according to an embodiment of the invention.Figure 12 C is the vertical view of multi-region plasma source 1270 according to an embodiment of the invention.Figure 12 D is the vertical view of multi-region plasma source 1280 according to an embodiment of the invention.
Each of multi-region plasma source 1200,1260,1270,1280 comprises a plurality of districts 1202 to 1212 in plasma processing chamber top 1201.Each in district 1202 to 1212 comprises plasma source 200,300,300', 320,330 separately as above.Plasma processing chamber top 1201 can also comprise a plurality of outlets 1219.A plurality of outlets 1219 can be distributed on the region at plasma processing chamber top 1201.At least one in a plurality of outlets 1219 can be arranged in the center position substantially at process chamber top 1201.
Each plasma source 200,300,300', 320,330 can be independently controlled with selectivity and supply different plasma reactant and product (free radical and the neutral substance that for example, can react with the surface 1220 of substrate 102) in each corresponding district 1202 to 1210.Thus optionally treatment surface 1220 have each pending corresponding district 1222 to 1232.As an example, plasma source 200,300,300', 320,330 can be controlled separately biasing, gas flow rate, concentration, radio-frequency power etc.Thus, provide the meticulousr control to the processing on the surface 1220 of substrate 102.
District 1202 to 1212 can be arranged to the configuration of any expectation, for example, substantial rectangular array as shown in Figure 12 A, linear array 1260 as shown in Figure 12 B, or the one or more alternating patterns 1270 as shown in Figure 12 C and 12D and 1280 and combination or any other suitable pattern of pattern 1250,1260,1270,1280.
Should be understood that object for the purpose of simplifying the description only illustrates Liu Ge district 1202 to 1212.Can also utilize more or less district, Bi Liuge district 1202 to 1212.The size that each district 1202 to 1212 can be substantially similar to as shown in Figure 12 A, or the size in each district is different, as shown in Figure 12 C.Similarly, each plasma source 200,300,300', 320,330 size can be substantially similar, and as shown in Figure 12 A, or the size in each source is different, as shown in Figure 12 C.Interval S1, S2 between each plasma source 200,300,300', 320,330, the size of S3 can be substantially similar, and as shown in Figure 12 A, or the size in each district is different, as shown in Figure 12 C.
Processed surface 1220 is can be with respect to a plurality of districts 1202 to 1212 fixing or can be movable.As an example, processed surface 1220 can be supported on to travel(l)ing rest (being hidden in surperficial 1220 belows) upper, this travel(l)ing rest is for example at the direction Linear ground of 1262A to 1262D translational surface, as shown in Figure 12 B.Alternately, processed surface 1220 can be supported on travel(l)ing rest, this travel(l)ing rest rotates surface in the direction of for example 1282A to 1282B, as shown in Figure 12 D.
Figure 13 is the flow chart that illustrates according to an embodiment of the invention the method operation 1300 of carrying out in plasma source 200,300,300', 320,330 operation.Illustrated operation herein is just given an example, and should be understood that, certain operations can have child-operation, and under other examples, illustrated operation can not comprise some operation as herein described.Accordingly, describing method and operation 1300 now.
In operation 1305, process gas 110 and be transported at least one in plasma chamber 200,300,300', 320,330.In operation 1310, process at least one in plasma chamber 200,300,300', 320,330 of gas 110 and maintain the first pressure.
In operation 1315, primary current I pbe applied on each the corresponding winding of periphery in parcel plasma chamber 200,300,300', 320,330.In operation 1320, primary current I pproduce magnetic field.
In operation 1325, selected plasma chamber 200,300, the one or more ferrites in 300', 320,330 make magnetic field concentration to the core that approaches of plasma chamber.
In operation 1330, in the processing gas 110 of magnetic field in plasma chamber 200,300,300', 320,330, respond to secondary current I s.In operation 1335, secondary current I sin processing gas 110 in plasma chamber 210, produce plasma.In operation 1340, the free radical of a part of plasma and plasma generation and neutral substance pass and enter process chamber 230 from plasma chamber 200,300,300', 320,330.
In operation 1345, each district 1222 to 1234 on the surface 1220 of the free radical producing in selected plasma chamber and neutral substance and substrate 102 reacts to produce plasma byproducts 118 and recombinant products 120.In operation 1350, inquiry is to determine whether activating extra plasma source.In operation 1355, select follow-up plasma chamber 200,300,300', 320,330 and continuation method operation in operation 1305 to 1345.
In operation 1360, in operation 1365, monitor as required and regulate each Local treatment in each in each district 1222 to 1234.In operation 1370, surface 1220 moves up with respect to plasma source 200,300,300', 320,330 at least one side in direction 1262A to 1262D and/or direction 1282A, 1282D.
In operation 1370, accessory substance 118 and recombinant products 120 are extracted out from process chamber by one or more processing outlet 304A to 304E.One or more processing outlet 304A to 304E are distributed on the surface at process chamber top 202 or (for example, in the base plate of process chamber) distributes or its combination along the edge of substrate support 106 or below substrate support, and the operation of described method can finish.
Consider above-described embodiment, should be understood that, the present invention can adopt the various computer-implemented operation that relates to the data that are stored in computer system.These action needs carry out physical operations to physical quantity.Conventionally, although may not, the form of these physical quantitys be can be stored, transmit, combine, relatively in other words conj.or perhaps can the operated signal of telecommunication and/or magnetic signal.In addition, the operation of execution conventionally for example refers to clearly and produces, identifies, determines or compare.
Any operation of a composition described herein part of the present invention is all useful machine operation.The invention still further relates to a kind of for carrying out equipment or the device of these operations.Described device can be become for required object by special configuration, or can be the all-purpose computer that the computer program stored in computer optionally activates or configures.Especially, many general machine can use together with the computer program of writing according to training centre as herein described, or may more conveniently construct more professional equipment and carry out required operation.
The present invention can also be embodied as computer-readable code and/or the logic on computer-readable medium.Computer-readable medium is any data storage device that can store data, and these data subsequently can be by computer system reads.The example of computer-readable medium comprises hard disk drive, network attached storage (NAS), logical circuit, read-only memory, random access memory, CD-ROM, CD-R, CD-RW, tape and other optics and non-optical data storage device.Computer-readable medium can also be distributed in the computer system of network coupling, makes computer-readable code store and carry out according to the mode distributing.
It should be further understood that, the instruction of the operation representative of above-mentioned accompanying drawing need to not carried out according to illustrated order, and is not that enforcement is essential to the invention by all processing of these operation representatives.In addition, the process of describing in any above-mentioned accompanying drawing can also be embodied as the software being stored in any one in RAM, ROM or hard disk drive or in their combination.
Although the present invention above-mentioned for the object of clear understanding described some details, certain changes and modifications can be carried out within the scope of the appended claims will be apparent.Therefore, the present embodiment is appreciated that illustrative and not restrictive, and the present invention's details that should be not limited to provide herein, but can in the scope of appended claims and equivalent, modify.

Claims (19)

1. a process chamber, it comprises:
A plurality of plasma sources in process chamber top, each in wherein said plasma source is toroidal plasma sources, described toroidal plasma sources comprises:
A winding;
A plurality of ferrites; And
The outlet of a plurality of plasma chambers, described a plurality of plasma chambers outlets are coupled to described process chamber by each the plasma chamber in described a plurality of plasma sources.
2. process chamber as claimed in claim 1, at least a portion of wherein said a plurality of plasma chambers outlets is coupled to earthing potential.
3. process chamber as claimed in claim 1, wherein said a plurality of plasma sources are arranged at least one in rectangular array, linear array or non-Concentric circle array.
4. process chamber as claimed in claim 1, it further comprises that at least one processes gas access, described at least one process gas access and be coupled to each in described a plurality of plasma source by processing gas source.
5. process chamber as claimed in claim 1, wherein said a plurality of ferrites distribute substantially equably around each the circumference in described plasma source.
6. process chamber as claimed in claim 1, each in wherein said a plurality of plasma sources is by circular, a kind of in triangle, substantial rectangular or polygon forms substantially shape in groups substantially substantially.
7. process chamber as claimed in claim 1, each in wherein said a plurality of plasma sources is of similar shape.
8. process chamber as claimed in claim 1, each in wherein said a plurality of plasma sources has substantially the same size.
9. process chamber as claimed in claim 1, each in wherein said a plurality of plasma sources is separated with certain separation distance and the residue plasma source in described a plurality of plasma sources.
10. process chamber as claimed in claim 9, each in wherein said a plurality of plasma sources is separated with substantially equal separation distance and the residue plasma source in described a plurality of plasma sources.
11. process chambers as claimed in claim 1, each in wherein said a plurality of plasma sources is coupled to controller and primary current source.
12. 1 kinds of methods that produce plasma, it comprises:
Transmission process gas is in selected one in a plurality of plasma sources;
Supply primary current is to the outside corresponding winding around described selected plasma source;
In a described winding, produce magnetic field;
Use a plurality of ferrites in described selected plasma source to concentrate described magnetic field;
In described processing gas in the plasma chamber of described selected plasma source, respond to secondary current;
Use in the described processing gas of described secondary current in the described plasma chamber of described selected plasma source and produce plasma; And
By a plurality of, go out at least one in port transmission neutral substance and free radical material to process chamber, described a plurality of outlets are coupled to process chamber by described plasma chamber, and earthing potential is coupled in described a plurality of outlets.
13. methods as claimed in claim 12, it further comprises by a plurality of outlets at process chamber top removes at least one plasma byproducts and recombinant products from described process chamber.
14. methods as claimed in claim 13, at least one in wherein said a plurality of outlets is positioned at the center substantially at described process chamber top.
15. methods as claimed in claim 12, wherein said ferrite distributes substantially equably around the circumference of described peripheral plasma chamber.
16. methods as claimed in claim 12, it further comprises from least one process monitoring transducer receiving course feedback signal and regulates at least one at least one set-point described a plurality of plasma source.
17. methods as claimed in claim 12, it further comprises with respect to the substrate support in described process chamber and moves at least one in described a plurality of plasma source.
18. 1 kinds of plasma process systems, it comprises:
Be arranged on a plurality of plasma sources in process chamber top, each in described a plurality of plasma sources comprises:
Peripheral plasma chamber;
An outside winding around described peripheral plasma chamber;
A plurality of ferrites, each in described a plurality of ferrites is passed in wherein said peripheral plasma chamber;
A plurality of plasma chamber outlets, described a plurality of plasma chamber outlets are coupled to described process chamber by described plasma chamber, and at least a portion of described a plurality of plasma chamber outlets is coupled to earthing potential;
At least one process monitoring transducer; And
Controller, described controller comprises:
Logic for transmission process gas to described peripheral plasma chamber;
For supplying the logic of primary current to the outside described winding around described peripheral plasma chamber;
For producing the logic in magnetic field at a described winding;
For using described a plurality of ferrite to concentrate the logic in described magnetic field, wherein said peripheral plasma chamber through described a plurality of ferritic each;
For respond to the logic of secondary current at the described processing gas of described peripheral plasma chamber;
For using described secondary current to produce the logic of plasma at the described processing gas of described peripheral plasma chamber;
For the logic from least one process monitoring transducer receiving course feedback signal; And
For regulating at least one the logic of at least one set-point of described a plurality of plasma sources.
19. 1 kinds of plasma systems for the treatment of substrate, it comprises:
Plasma chamber, described plasma chamber has:
Base plate:
A plurality of sidewalls;
Approach the substrate support of described base plate; And
Intersect to seal the process chamber top of described process chamber with described sidewall;
Be arranged on a plurality of plasma sources of described process chamber over top, described a plurality of plasma source is distributed on the region of described substrate support, at least extend between the outside of described substrate support and the central part of described substrate support in described region.
CN201280056601.3A 2011-11-17 2012-11-07 The system of distributed multi-region plasma source, method and apparatus Active CN103959918B (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201161561167P 2011-11-17 2011-11-17
US61/561,167 2011-11-17
US13/649,103 US10283325B2 (en) 2012-10-10 2012-10-10 Distributed multi-zone plasma source systems, methods and apparatus
US13/649,103 2012-10-10
PCT/US2012/063987 WO2013074354A1 (en) 2011-11-17 2012-11-07 Distributed multi-zone plasma source systems, methods and apparatus

Publications (2)

Publication Number Publication Date
CN103959918A true CN103959918A (en) 2014-07-30
CN103959918B CN103959918B (en) 2017-10-03

Family

ID=48430050

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201280056601.3A Active CN103959918B (en) 2011-11-17 2012-11-07 The system of distributed multi-region plasma source, method and apparatus

Country Status (4)

Country Link
KR (1) KR102016190B1 (en)
CN (1) CN103959918B (en)
TW (1) TWI519215B (en)
WO (1) WO2013074354A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113748485A (en) * 2019-05-08 2021-12-03 Mks仪器有限公司 Polygonal toroidal plasma source

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9240308B2 (en) * 2014-03-06 2016-01-19 Applied Materials, Inc. Hall effect enhanced capacitively coupled plasma source, an abatement system, and vacuum processing system
US10224210B2 (en) * 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
TWI713799B (en) 2016-11-15 2020-12-21 美商應用材料股份有限公司 Dynamic phased array plasma source for complete plasma coverage of a moving substrate
CN114229790A (en) * 2021-11-11 2022-03-25 江苏普诺威电子股份有限公司 Composite treatment process based on MEMS (micro-electromechanical systems) groove hole wall

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2093266A (en) * 1981-02-13 1982-08-25 Lam Res Corp A plasma processing chamber
JP2000515304A (en) * 1996-07-10 2000-11-14 シーブイシー プロダクツ、インコーポレイテッド Apparatus and method for generating inductively coupled high density multi-zone plasma
CN1275937A (en) * 1997-10-15 2000-12-06 东京电子株式会社 Apparatus and method for adjusting density distribution of a plasma
US20030192644A1 (en) * 1998-03-14 2003-10-16 Applied Materials, Inc. Distributed inductively-coupled plasma source and circuit for coupling induction coils to RF power supply
US20050194910A1 (en) * 2004-03-05 2005-09-08 Tokyo Electron Limited Magnetically enhanced capacitive plasma source for ionized physical vapor deposition
US20080020574A1 (en) * 2006-07-18 2008-01-24 Lam Research Corporation Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
WO2008154222A1 (en) * 2007-06-06 2008-12-18 Mks Instruments, Inc. Particle reduction through gas and plasma source control
US20100065215A1 (en) * 2008-09-17 2010-03-18 Samsung Electronics Co., Ltd. Plasma generating apparatus

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4043089B2 (en) * 1997-02-24 2008-02-06 株式会社エフオーアイ Plasma processing equipment
JPH11149998A (en) * 1997-11-14 1999-06-02 Foi:Kk Plasma treating device
KR100785163B1 (en) * 2006-02-03 2007-12-11 위순임 Substrate processing system having multi remote plasma generator
KR100785164B1 (en) * 2006-02-04 2007-12-11 위순임 Multi output remote plasma generator and substrate processing system having the same
JP5227245B2 (en) * 2009-04-28 2013-07-03 東京エレクトロン株式会社 Plasma processing equipment

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2093266A (en) * 1981-02-13 1982-08-25 Lam Res Corp A plasma processing chamber
JP2000515304A (en) * 1996-07-10 2000-11-14 シーブイシー プロダクツ、インコーポレイテッド Apparatus and method for generating inductively coupled high density multi-zone plasma
CN1275937A (en) * 1997-10-15 2000-12-06 东京电子株式会社 Apparatus and method for adjusting density distribution of a plasma
US20030192644A1 (en) * 1998-03-14 2003-10-16 Applied Materials, Inc. Distributed inductively-coupled plasma source and circuit for coupling induction coils to RF power supply
US20050194910A1 (en) * 2004-03-05 2005-09-08 Tokyo Electron Limited Magnetically enhanced capacitive plasma source for ionized physical vapor deposition
US20080020574A1 (en) * 2006-07-18 2008-01-24 Lam Research Corporation Hybrid RF capacitively and inductively coupled plasma source using multifrequency RF powers and methods of use thereof
WO2008154222A1 (en) * 2007-06-06 2008-12-18 Mks Instruments, Inc. Particle reduction through gas and plasma source control
US20100065215A1 (en) * 2008-09-17 2010-03-18 Samsung Electronics Co., Ltd. Plasma generating apparatus

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113748485A (en) * 2019-05-08 2021-12-03 Mks仪器有限公司 Polygonal toroidal plasma source

Also Published As

Publication number Publication date
TW201336355A (en) 2013-09-01
KR20140091007A (en) 2014-07-18
WO2013074354A1 (en) 2013-05-23
KR102016190B1 (en) 2019-10-21
CN103959918B (en) 2017-10-03
TWI519215B (en) 2016-01-21

Similar Documents

Publication Publication Date Title
US10424460B2 (en) Systems, methods and apparatus for choked flow element extraction
CN103959918A (en) Distributed multi-zone plasma source system, method and apparatus
KR102008639B1 (en) Distributed multi-zone plasma source systems, methods and apparatus
US20180228015A1 (en) Distributed, Non-Concentric Multi-Zone Plasma Source Systems, Methods and Apparatus
JP6019023B2 (en) Plasma source, plasma generation method, plasma processing system
US10283325B2 (en) Distributed multi-zone plasma source systems, methods and apparatus

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant