CN103493602B - Plasma processing apparatus - Google Patents

Plasma processing apparatus Download PDF

Info

Publication number
CN103493602B
CN103493602B CN201180070316.2A CN201180070316A CN103493602B CN 103493602 B CN103493602 B CN 103493602B CN 201180070316 A CN201180070316 A CN 201180070316A CN 103493602 B CN103493602 B CN 103493602B
Authority
CN
China
Prior art keywords
cathode electrode
processing apparatus
plasma processing
gas
electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN201180070316.2A
Other languages
Chinese (zh)
Other versions
CN103493602A (en
Inventor
铃木正康
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shimadzu Corp
Original Assignee
Shimadzu Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shimadzu Corp filed Critical Shimadzu Corp
Publication of CN103493602A publication Critical patent/CN103493602A/en
Application granted granted Critical
Publication of CN103493602B publication Critical patent/CN103493602B/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • H05H1/4645Radiofrequency discharges

Abstract

The present invention provides a kind of plasma processing apparatus, including: anode electrode; Cathode electrode, is having, with anode electrode mask in opposite directions, the through hole arranging peristome; Gas supply device, introducing technology gas between antianode electrode and cathode electrode; And alternating current power supply, supply alternating electromotive force between antianode electrode and cathode electrode, become plasmoid at anode electrode and cathode electrode chien shih process gas.

Description

Plasma processing apparatus
Technical field
The present invention relates to a kind of plasma processing apparatus producing plasma and carry out processing substrate.
Background technology
In the manufacturing step of semiconductor element, because having the advantage easily carrying out high-precision technology controlling and process, so film formation step, etching step, ashing (ashing) step etc. use plasma processing apparatus. As plasma processing apparatus, for instance known have plasma activated chemical vapour deposition (chemicalvapordeposition, CVD) device, plasma-etching apparatus, plasma ashing apparatus etc. Such as in plasma CVD equipment, utilize RF power etc. by plasmarized for unstrpped gas, and utilize chemical reaction to form thin film on substrate.
In addition, propose to obtain plasma density equably, and employ the plasma processing apparatus of the shower electrode (showerelectrode) supplying process gas (processgas) from the inside of cathode electrode, or in shower electrode, the plasma processing apparatus (for example, referring to patent documentation 1) of hollow cathode discharge is make use of in order to produce more highdensity plasma.
Background technology document
Patent documentation
Patent documentation 1: Japanese Patent Laid-Open 2004-296526 publication
Summary of the invention
Invent problem to be solved
But, in order to utilize shower electrode to carry out Cement Composite Treated by Plasma, and the fine hole that multiple diameter is about 0.3mm��0.4mm must be formed on the surface of cathode electrode. Therefore, manufacture or the maintenance of cathode electrode are difficult, and cost is high. And, cannot use continuously because of the blocking of shower electrode sometimes. The plasma processing apparatus make use of hollow cathode discharge also can give birth to these problems by real estate equally. And, quote in example, be only with a negative electrode face in opposite directions on generate the composition of plasma, and be difficult to uniformly and stably generate highdensity plasma on the two sides of cathode electrode.
In view of described problem, it is an object of the invention to provide the plasma processing apparatus that can uniformly and stably generate highdensity plasma on the two sides of cathode electrode.
For solving the means of problem
According to an embodiment of the present invention, it is provided that a kind of plasma processing apparatus, including anode electrode, installation base plate; Cathode electrode, by with anode electrode in opposite directions in the way of and configure, have the through hole that peristome is set at mask in opposite directions; Gas supply device, introducing technology gas between antianode electrode and cathode electrode; And alternating current power supply, supply alternating electromotive force between antianode electrode and cathode electrode, become plasmoid at anode electrode and cathode electrode chien shih process gas.
The effect of invention
According to the present invention, it is possible to provide can uniformly and stably generate the plasma processing apparatus of highdensity plasma on the two sides of cathode electrode.
Accompanying drawing explanation
Fig. 1 is the schematic diagram of the composition of the plasma processing apparatus of first embodiment of the present invention.
Fig. 2 is the schematic diagram (one) of the heating region of the through hole of the plasma processing apparatus of the first embodiment that the present invention is described.
Fig. 3 is the schematic diagram (its two) of the heating region of the through hole of the plasma processing apparatus of the first embodiment that the present invention is described.
Fig. 4 is the schematic diagram (its three) of the heating region of the through hole of the plasma processing apparatus of the first embodiment that the present invention is described.
Fig. 5 is the schematic diagram (its four) of the heating region of the through hole of the plasma processing apparatus of the first embodiment that the present invention is described.
Fig. 6 is the schematic diagram of the hollow cathode discharge that comparative example is described.
Fig. 7 is the schematic diagram of the structure example of the cathode electrode of the plasma processing apparatus of first embodiment of the present invention.
Fig. 8 is the schematic diagram of the configuration example of the peristome of the through hole being formed in cathode electrode of the plasma processing apparatus of first embodiment of the present invention.
Fig. 9 is the schematic diagram of the discharge condition of the plasma processing apparatus of first embodiment of the present invention.
Figure 10 is the schematic diagram of other discharge conditions of the plasma processing apparatus of first embodiment of the present invention.
Figure 11 is the mean free path curve chart with the relation of pressure of electronics.
Figure 12 is the schematic diagram of the composition of the plasma processing apparatus of the first variation of first embodiment of the present invention.
Figure 13 is the schematic diagram of the composition of the plasma processing apparatus of the second variation of first embodiment of the present invention.
Figure 14 is the schematic diagram of the composition of the plasma processing apparatus of second embodiment of the present invention.
Figure 15 is the schematic diagram of other compositions of the plasma processing apparatus of second embodiment of the present invention.
Figure 16 is the schematic diagram of the composition of the plasma processing apparatus of the variation of second embodiment of the present invention.
The explanation of symbol
10: plasma processing apparatus
11: anode electrode
12,12A: cathode electrode
13: gas supply device
14,17: alternating current power supply
15: exhaust velocity control portion (APC)
16: pressure tester
20: chamber
21: substrate heater
50: ion
60: secondary electron
61: electronics
70: neutral gas molecule
80: presoma
100: process gas
101: glow discharge region
102: hollow discharge region
120: through hole
121: cathode backplates
130: gas supply nozzle
200: sheath layer region
601: recess
602: gas vent
A, c, f:: length
A: region
B: the mean free path of electronics
D: the diameter (m) of gas molecule
D: the diameter of through hole 120
K: distance
Ne: electron density
S: the distance between anode electrode 11 and cathode electrode 12
T: the length (thickness of cathode electrode 12) of through hole 120
Te: electron temperature
T: ambient air temperature (K)
P: pressure
�� d: Debye length
Detailed description of the invention
Then, illustrate with reference to the accompanying drawing the first embodiment to the present invention and the second embodiment. In the record of following accompanying drawing, same or similar part is enclosed same or similar symbol. Wherein, accompanying drawing should be noticed for schematically figure.And, the first embodiment shown below and the second embodiment be illustrate for the device that the technological thought of the present invention is embodied or method, embodiments of the present invention not by the structure of constituent part, configuration etc. specifically for the description below.
(the first embodiment)
The plasma processing apparatus 10 of first embodiment of the present invention includes as shown in Figure 1: anode electrode 11, installation process substrate; Cathode electrode 12, is having, with anode electrode 11 mask in opposite directions, the through hole 120 arranging peristome; Gas supply device 13, introducing technology gas 100 between antianode electrode 11 and cathode electrode 12; And alternating current power supply 14, supply alternating electromotive force between antianode electrode 11 and cathode electrode 12, become plasmoid at anode electrode 11 and cathode electrode 12 chien shih process gas 100. As it is shown in figure 1, anode electrode 11 and cathode electrode 12 are plate, plasma processing apparatus 10 utilizes capacitive coupling plasma (CapacitivelyCoupledPlasma). The interelectrode distance of capacitive coupling it is desirable to generally uniform.
Surface configuration the cathode electrode 12 of peristome, as generating the hollow cathode electrode of hollow cathode discharge and function. Hereinafter hollow cathode discharge is illustrated.
In common capacitive coupling plasma, the secondary electron (Secondaryelectron) released to utilize the incident ion to the surface of cathode electrode 12, for starting point, chainly by ionizing gas molecules, thus maintains ionization. In the present case, the plasma on the surface of the cathode electrode 12 except the inside except through hole 120 generates is exactly this situation. On the other hand, plasma within through hole 120 is generated as hollow cathode discharge, in hollow cathode discharge, inside the through hole 120 of cathode electrode 12, electronics is sealing into through hole 120 inside and has kinergety, is consequently formed the space of high density electronics. It is, by be arranged at cathode electrode 12 through hole 120 sidewall on produced cathode drop (cathodedrop) and debye blocking, electron impact to the sidewall of through hole 120 without disappearing. It is, by repeating electronics inside through hole 120 from being referred to as of bounce-back in opposite directions " swinging (Pendulummovement) effect " such resilience, and it is internally formed high density Cyberspace at through hole 120. Inelastic collision is repeated, to maintain, to promote ionization with the electronics of gas molecule collision. These electronics are internal to all directions scattering at through hole 120, repeat ionization and amplify and cumulative ionization.
With reference to Fig. 2��Fig. 5, described phenomenon is illustrated. Fig. 2 is the figure that the region A shown in Fig. 1 amplifies gained. Between anode electrode 11 and cathode electrode 12, form glow discharge (glowdischarge) region 101, be internally formed hollow discharge region 102 at the through hole 120 being formed at cathode electrode 12. It addition, form sheath layer region (sheatharea) 200 between anode electrode 11 and cathode electrode 12 and glow discharge region 101 respectively. And, through hole 120 is internal, forms sheath layer region 200 between cathode electrode 12 and hollow discharge region 102. Interval between anode electrode 11 and cathode electrode 12 is set to distance S.
As it is shown on figure 3, the ion 50 invading through hole 120 utilizes sheath layer region 200 to accelerate, and collide the internal face of cathode electrode 12.
From the secondary electron 60 of wall radiation as shown in Figure 4, sheaths electric field (sheathelectricfield) is utilized to accelerate to the direction vertical with wall. The secondary electron 60 obtaining enough energy after acceleration collides neutral gas molecule 70, thus causing electron avalanche. Thus, the electron density within through hole 120 increases rapidly.
As it is shown in figure 5, the wall electronics 61 nearby arriving opposition side from the secondary electron 60 of wall radiation, resilience in the sheaths electric field of opposition side and be pushed back in plasma. This is referred to as swing effect, and the true rate of existence of the electronics in through hole 120 increases tremendously. Utilize these to act on, in through hole 120, maintain high electron density, become the plasma structure different from the glow discharge being formed between parallel flat.
Invade the gas molecule in high electron density region repeat ionization with in conjunction with, in conjunction with time be observed as the luminescence of high briliancy. The presoma 80 generated in high-density plasma be free radical kind, unrelated with electrode potential and spread to the outside of through hole 120, is being configured at the substrate surface formation thin film of such as anode electrode 11.
In order to obtain the diameter of the through hole 120 of uniform high electron density efficiently, it is the mean free path according to pressure, temperature, process gas kind and this electronics and studies. Diameter about through hole 120 will in being carried out below narration.
It addition, according to described principle, for cathode electrode 12, it is preferred to the cheap and maintenance such as handling ease and cleaning is easy to carbon material etc. Such as available fluoric acid processes, and cleans the cathode electrode 12 comprising carbon material. And, by using carbon material, plasma treatment step will not be deformed because of high temperature. Or, it is easily form the aluminium alloy etc. of metal oxide film to be suitable for the material of hollow cathode electrode. Additionally, cathode electrode 12 can be used for by being added with the carbon of carbon fiber, stainless steel alloy, copper, copper alloy, glass, pottery etc. Or, it is possible to utilize alumite process, plating, thermal spraying (thermalspraying) described material is implemented coating.
About anode electrode 11, it is suitable for use of carbon material. And, anode electrode 11 can be used for by being added with the carbon of carbon fiber, aluminium alloy, stainless steel alloy, copper, copper alloy, glass, pottery etc. Or, it is possible to utilize alumite process, plating, thermal spraying described material is implemented coating.
In plasma processing apparatus 10 shown in Fig. 1, multiple through holes 120 of hollow cathode discharge generation can be formed at certain density the surface of cathode electrode 12, thus can easily reach uniform high electron density electric field on the two sides of cathode electrode 12. This is because, utilizing the character of the bipolarity diffusion of the plasma via through hole 120, the difference of the height of the plasma density on the two sides of cathode electrode 12 is automatically modified.
On the other hand, study the comparative example shown in Fig. 6, this comparative example is formed on the surface of cathode electrode 12A recess 601, gas vent 602 is set in the bottom surface of recess 601. This comparative example is the example adopting the shower electrode supplying process gas 100 from the inside of cathode electrode 12A. In comparative example shown in Fig. 6, the inside of recess 601 is the space utilizing hollow cathode discharge to generate high-density plasma. Being configured to as follows: by spraying process gas 100 from the gas vent 602 of the minute diameter of the bottom surface being formed at recess 601, process gas 100 is effectively by high-density plasma space.
But, in the comparative example shown in Fig. 6, it is difficult to multiple recesses 601 are supplied uniformly across process gas 100, the opening diameter of gas vent 602 or length, process gas 100 flow or pressure etc. in have various restriction. And then, gas vent 602 is atomic little diameter, thus easily caused by blocking. When because of blocking cannot introducing technology gas 100, occur the recess 601 of blocking is difficult to produce hollow cathode discharge, thus be unable to maintain that the uniformity of the electric discharge of whole of cathode electrode 12A.
On the other hand, in the plasma processing apparatus 10 shown in Fig. 1, utilizing hollow cathode discharge and generating near the through hole 120 of high-density plasma stably flow process gas. Therefore, the uniformity of electric discharge is maintain in the respective whole face on the two sides of cathode electrode 12.
Through hole 120 is formed multiple preferably in the surface of cathode electrode 12 as much as possible. Such as six side's solid matter configurations etc., by the surface solid matter of cathode electrode 12 configure peristome in the way of form through hole 120. Thus, at the highdensity plasma of uniformly formation of cathode electrode 12.
Fig. 7 is the example on the surface of the cathode electrode 12 of the peristome forming through hole 120. Now, for instance shown in Fig. 8, when the diameter of through hole 120 is 5mm, the center distance between the through hole 120 that above-below direction adjoins being set as 3mm, the distance of the left and right directions between through hole 120 adjacent on tilted direction is set as 5.2mm.
It addition, as it is shown in fig. 7, the gas supply nozzle 130 of the ejection process gas 100 of gas supply device 13 is towards the bottom surface of cathode electrode 12, and when having multiple gas supply nozzle 130, gas supply nozzle 130 arranges along the bottom surface of cathode electrode 12. By making gas supply nozzle 130 towards the bottom surface of cathode electrode 12, and the two sides of cathode electrode 12 can be supplied process gas 100 substantially uniformly.
When process gas 100 is the gas mixing multiple gases, the process gas 100 of all gas can be mixed from gas supply nozzle 130 supply, it is possible to from different gas supply nozzles 130 is supplied respectively to gas for every kind of gas.
About the plasma processing apparatus 10 shown in Fig. 1, in order to effectively determine to be formed the size of the through hole 120 of hollow cathode discharge, and the behavior of electronics must be studied. The behavior of the electronics of following description through hole 120.
Fig. 9 is the details of the discharge condition of the region A of Fig. 1. Electronics cannot invade the length lambda d inside place, ratio debye relative to cathode electrode 12, but resilience. And, there is first time collision in the electronics released from the internal face of through hole 120, ionizing gas molecules generates plasma near the mean free path of electronics with gas molecule. In Fig. 9, length a represent the distance of the Debye length �� d gained that the diameter d of through hole 120 is deducted both sides. If the mean free path (meanfreepass) of electronics is set to b, then below formula (1) is set up:
A=2b+c ... (1)
In formula (1), length c is the diametric distance in the region except sheath layer region within through hole 120. The diameter d of through hole 120 is represented by below formula (2):
D=a+2 �� �� d=2b+c+2 �� �� d ... (2)
When c=0, it is impossible to guarantee the mobile space with the electronics of enough kinergeties, and enough plasma generating space cannot be guaranteed inside through hole 120.
It addition, when the diameter d of the such as such through hole of c > 5b 120 is thick, as shown in Figure 10, inside through hole 120, highdensity plasma generates in the way of being bonded at the wall of through hole 120. Therefore, in the central space of the through hole 120 represented by length f, plasma density thins out.
On the other hand, shown in table one, if the diameter d of through hole 120 reduces, then the electronics moving range represented by the length c in through hole 120 reduces. Therefore, it is impossible to produce enough plasma spaces.
Table one
Gaseous species shown in table one is ammonia, temperature is pressure P produced by hollow cathode discharge when 673K, the form of the condition of the diameter d of through hole 120. In table one, be 2.38 using the diameter d of through hole 120 ratio relative to the mean free path Y of electronics, collision frequency be 3.7 as the condition producing hollow cathode discharge. As shown in Table 1, the more little then length c of diameter d of through hole 120 is more little, is more difficult to ensure that plasma generating space.
If length c is best, then guarantee the mobile space with the electronics of enough kinergeties, furthermore it is ensured that enough wide high-density plasma space.
Figure 11 institute temp. displaying function is the mean free path Y relation with pressure P of electronics during 673K. In Figure 11, circular mark is the mean free path of ammonia (NH3), and triangular mark is the mean free path of single silane (SiH4) gas. It addition, the mean free path Y under the pressure P illustrated in table one=67Pa, 87Pa, 130Pa, circular mark and triangular mark by hollow represent in fig. 11.
It addition, the relation of Debye length �� d and electron temperature Te and electron density ne is represented by below formula (3):
�� d=7.4 �� 103 �� (Te/ne) 1/2 ... (3)
Table two is the example of the value of calculation of Debye length �� d. Herein, use the electron temperature of common high density glow discharge plasma and electron density to calculate Debye length �� d. It addition, the mean free path �� g of gas molecule is represented by formula (4), the mean free path �� e of electronics is represented by formula (5):
�� g=3.11 �� 10-24 �� T4/(P �� D) ... (4)
�� e=�� g �� 4 �� 21/2 ... (5)
In formula (4), T is ambient air temperature (K), P be pressure (Pa), D is the diameter (m) of gas molecule.
Table two
By setting the length c of the best as described, and the diameter d of through hole 120 can be determined. It is, according to regulation pressure, ambient air temperature, gaseous species, and be ready for by optimum efficiency produce hollow cathode discharge in the way of and custom-designed cathode electrode 12.
In plasma processing apparatus 10 shown in Fig. 1, it is necessary to the swing effect at the inside optimum efficiency land productivity electronics of through hole 120 produces hollow cathode discharge. Now, the mean free path of electronics is determined by the size of ambient air temperature with pressure, gas molecule. Inventor et al. uses the cathode electrode 12 forming multiple through hole 120, for process gas 100 and has been tested by the mixing gas of single silane (SiH4) gas with ammonia (NH3). Ambient air temperature T is being set as 350 DEG C��450 DEG C, when pressure P is set as 67Pa, when the diameter of through hole 120 is 5.0mm, the length t of the thickness of cathode electrode 12, namely through hole 120 is 5mm, when distance S between anode electrode 11 and cathode electrode 12 is 16mm, uniform Multihollow (multihollow) electric discharge can be obtained on the two sides of cathode electrode 12." Multihollow electric discharge " is that the hollow cathode discharge produced respectively in each through hole 120 combines and discharging produced by the surface of cathode electrode 12.
And, when the diameter of through hole 120 is 3.9mm, 2.9mm, as shown in Table 1, near pressure P respectively 87Pa, 130Pa, obtain the electric discharge of uniform Multihollow. This is when ambient air temperature T is 400 DEG C, become 4.72 times of mean free path of electronics in single silane gas, 2.38 times (mean free path of electronics in single silane gas is 1.98 with the ratio of the mean free path of the electronics in ammonia) of the mean free path of the electronics in ammonia.
Because reality uses mixing gas in process gas 100, so being for benchmark to estimate the diameter d of through hole 120 with the mean free path of the electronics in the many ammonia of gas flow ratio. Specifically, use the mixing gas of single silane gas and ammonia, ambient air temperature T be 400 DEG C, pressure P be 67Pa time, the diameter d of through hole 120 is set to 5mm, obtains the electric discharge of uniform Multihollow on the two sides of cathode electrode 12. The process gas of CVD generally mixes gaseous species such as being imported with single silane, hydrogen, nitrogen, but in the research of through hole 120 diameter, is conceived to the gaseous species that in mixing gas, mean free path is the longest, derives the optimum of the diameter of through hole 120.
It addition, for the easiness processed or with the acquisition Multihollow electric discharge of desired pressure, it is preferable that the diameter d of through hole 120 is set to about 3.8mm��8.0mm. These sizes are easier than forming the pore volume for the 0.3mm��0.4mm needed for manufacturing shower electrode. Therefore, it is possible to decrease the manufacturing cost of plasma processing apparatus 10.
It addition, have been shown that the example that section is circle of through hole 120 in described. But, the section of through hole 120 is alternatively the polygon of diameter substantially about 3.8mm��8.0mm.
And, it is possible on cathode electrode 12, it is formed along multiple through holes 120 that the section shape of long axis direction is mutually the same, or, make the size of the section shape along long axis direction or shape through hole 120 different from each other be mixed and be formed. By making through holes 120 different for diameter d be mixed, under multiple conditions that pressure or temperature, gaseous species etc. are different, all can obtain Multihollow electric discharge respectively.
The thickness t of the length of the long axis direction of through hole 120, namely cathode electrode 12 is in order to easily produce hollow cathode discharge, and is set as about 3mm��10mm, it is preferable that be set as about 5mm.
Distance S between anode electrode 11 and cathode electrode 12 is preferably about 10mm��40mm. Thus, plasma can be produced between anode electrode 11 and cathode electrode 12 equably.
In the existing method of the comparative example etc. shown in Fig. 6, from the recess 601 being generated high-density plasma by hollow cathode discharge, as sprayed, release process gas 100 equably, thus can first whole of the cathode electrode 12A uniformity obtaining plasma.
On the other hand, in the plasma processing apparatus 10 shown in Fig. 1, the introducing technology gas 100 not via cathode electrode 12. Because the diameter d of through hole 120 is more many greatly than the diameter in the hole needed for shower electrode, so not worrying blocking, it is also easy to maintain in addition.
In plasma processing apparatus 10, it is preferable that between anode electrode 11 and cathode electrode 12, introducing technology gas 100 upward from below.By introducing technology gas 100 from below, and the plasmarized gas molecule of light specific gravity, free radical particle are as top stream, and surface along cathode electrode 12 flows up naturally. Therefore, even if not using complicated structure as such in shower electrode, it is possible to the uniformly supply process gas to cathode electrode 12. And, hollow cathode discharge the space of the high-density plasma generated is through hole 120, thus ensure that the seriality of plasma at the table back side of cathode electrode 12, and reciprocally automatically revises the height of plasma density. Therefore, in plasma processing apparatus 10, uniform highdensity plasma can be generated on the two sides of cathode electrode 12.
It addition, in order to make process gas 100 flow swimmingly, it is preferable that the smooth surface of cathode electrode 12, except the interior surface of through hole 120, surface roughness is processed as less than 3 ��m. Such as, the degree of mark is processed in " " expression of the surface planarisation of cathode electrode 12 being served as reasons. It is, be 6.3S preferably up to height Ry, 10 mean roughness Rz are 6.3Z, and arithmetic average roughness Ra is less than 1.6a. By reducing the surface roughness of cathode electrode 12, and the film forming speed of the thin film being formed at substrate 1 can be improved.
As described above, plasma processing apparatus 10 according to the first embodiment of the invention, by forming through hole 120 at cathode electrode 12, and can uniformly and stably generate highdensity plasma on the two sides of cathode electrode 12. Additionally, compared with the device of the shower electrode using required thousands of above minute aperture processing, short during the manufacture of plasma processing apparatus 10, and fine ratio of product raising. Therefore, the increase of the manufacturing cost of plasma processing apparatus 10 is inhibited.
And, according to plasma processing apparatus 10, can independently carry out large area and the generation of uniform high-density plasma with the frequency of the alternating electromotive force of the supply of alternating current power supply 14. Even if the frequency setting of alternating electromotive force alternating current power supply 14 supplied is such as about 60Hz��27MHz, it is possible to generate uniform and highdensity plasma. It is, the alternating current power supply of the alternating electromotive force of very high frequency(VHF) (veryhighfrequency, the VHF) wave band of supply high price need not be used. On the other hand, in the plasma processing apparatus of existing parallel plate-type, in order to realize large area and highdensity Capacitance Coupled high-frequency discharge, such as must replace the radio frequency (radiofrequency of 13.56MHz, RF) frequency of wave band, and use the frequency of the VHF wave band such as 27MHz of more than 13.56MHz, to eliminate the uneven of the plasma density that causes because of the raising of plasma density with standing wave (standingwave).
In plasma processing apparatus 10, even if being as the such cheap low RF band of such as 250KHz, it is possible to obtain the high-density plasma above on an equal basis with the existing plasma processing apparatus of the alternating current power supply using VHF wave band.
Additionally, it is possible to alternating electromotive force alternating current power supply 14 exported is supplied between anode electrode 11 and cathode electrode 12 via pulse generator (PulseGenerator). Such as, the output of pulse generator is supplied to cathode electrode 12, and makes anode electrode 11 ground connection. By making the supply of alternating electromotive force stop with certain cycle, and it is stably formed plasma. This is to be declined by the temperature of electronics during arranging stopping in the supply of alternating electromotive force, thus the stability discharged improves.
Such as, the ON time of supply alternating electromotive force is set to 600 microseconds, stops turn-off time of supply of alternating electromotive force and be set to 50 microseconds, and with make ON time and turn-off time alternately repeated mode antianode electrode 11 and cathode electrode 12 between supply alternating electromotive force. Additionally, it is preferred that set ON time in the scope of 100 microsecond��1000 microseconds, in the scope of 10 microsecond��100 microseconds, set turn-off time.
By as described to being fed into horizontal pulse control towards the alternating electromotive force between anode electrode 11 and cathode electrode 12, and make the supply of alternating electromotive force periodically turn on, disconnect, and the generation of paradoxical discharge can be suppressed.
<the first variation>
Figure 12 is anode electrode 11 is the example of the plasma processing apparatus 10 in a situation. When as shown in figure 12 only when the surface excitation plasma of the one side of cathode electrode 12, configure cathode backplates 121 counting the position into distance k from the face not exciting plasma of cathode electrode 12. Now, in order to not produce plasma between cathode electrode 12 and cathode backplates 121, and by k < b(b: the mean free path of electronics) in the way of carry out setpoint distance k. Now, from alternating current power supply 14, cathode electrode 12 and cathode backplates 121 are supplied alternating electromotive force. It addition, introducing technology gas 100 between antianode electrode 11 and cathode electrode 12 and between cathode electrode 12 and cathode backplates 121.
<the second variation>
Figure 13 is the example that plasma processing apparatus 10 has multiple cathode electrode 12. In plasma processing apparatus 10 shown in Figure 13, anode electrode 11 and cathode electrode 12 alternately configure, and, outermost configures anode electrode 11. Therefore, the block number of anode electrode 11 is than cathode electrode more than 12 1 pieces. Figure 13 is cathode electrode 12 it is the example of 3 pieces, but the block number of cathode electrode 12 is certainly not limited to 3 pieces.
By adopting the composition shown in Figure 13, the quantity being formed at anode electrode 11 with the heating region of cathode electrode 12 can be increased. Thus, the disposal ability of plasma processing apparatus 10 improves.
(the second embodiment)
Plasma processing apparatus 10 shown in Fig. 1 is applicable to plasma activated chemical vapour deposition (CVD) device, plasma-etching apparatus, plasma ashing apparatus etc.
Figure 14 is the example that the plasma processing apparatus 10 shown in Fig. 1 is used for plasma CVD equipment. Anode electrode 11 and cathode electrode 12 are configured in chamber 20, configure film forming and process the substrate 1 of object on anode electrode 11. Anode electrode 11 ground connection.
The gas using the unstrpped gas comprising film forming is used as process gas 100, from gas supply device 13 via gas supply nozzle 130 to introducing technology gas 100 in chamber 20.
Pressure in chamber 20 is measured by capacitance meter (capacitancegauge) equal pressure determinator 16, utilizes and adjusts the pressure in chamber 20 as by the exhaust pump of chamber 20 vacuum exhaust and the exhaust velocity control portion (APC) 15 of adjustment exhaust velocity. After the pressure of the process gas 100 in chamber 20 is adjusted to the air pressure of regulation, alternating current power supply 14 is utilized to be supplied between cathode electrode 12 and anode electrode 11 by the alternating electromotive force of regulation. Thus, the process gas 100 in chamber 20 is in plasma. By being exposed in the plasma formed by substrate 1, the desired thin film that raw material contained in unstrpped gas is main constituent is formed at the surface exposed of substrate 1.
It addition, be possible with the substrate heater 21 shown in Figure 14, set the temperature of substrate 1 during film forming processes. It is set as the temperature of regulation by the temperature of the substrate 1 in film forming being processed, and film forming speed can be accelerated, or improve film quality.
As already explained, in the plasma processing apparatus 10 shown in Fig. 1, the Surface Creation at cathode electrode 12 is uniform and highdensity plasma. Therefore, the plasma CVD equipment according to Figure 14, unstrpped gas is decomposed efficiently, at a high speed and be formed uniformly thin film in large area on substrate 1. Therefore, the thickness of the film formed, film quality uniformity improve, and film forming speed improve.
Utilize the plasma CVD equipment that have employed plasma processing apparatus 10, and by properly selecting unstrpped gas, and desired thin film can be formed. Such as, silicon semiconductor thin film, silicon nitride film, silicon oxide film, silicon oxynitride film, C film etc. can be formed on substrate 1. Specifically, use the mixing gas of ammonia (NH3) and single silane (SiH4) gas, form silicon nitride (SiN) film on substrate 1. Or, use the mixing gas of single silane (SiH4) gas and N2O gas or tetraethoxysilane (Tetraethoxysilane, TEOS) gas and oxygen, form silicon oxide (SiOx) film on substrate 1.
Figure 15 is mounted with the example of alternating current power supply 17 dividually with the alternating current power supply 14 being installed on cathode electrode 12 on anode electrode 11. Supply alternating electromotive force by antianode electrode 11, and the film quality of the thin film being formed on substrate 1 can be improved. The frequency of the alternating electromotive force of alternating current power supply 17 supply can be equal or lower than it with the frequency of the alternating electromotive force of the supply of alternating current power supply 14. Such as, the frequency setting of the alternating electromotive force that alternating current power supply 17 supplies is about 60Hz��27MHz.
It addition, do not supply alternating electromotive force from alternating current power supply 14, and only supply alternating electromotive force from alternating current power supply 17, thus can clean anode electrode 11. Specifically, the gas of sputtering is imported in chamber 20, by supplying alternating electromotive force from alternating current power supply 17 while the sputter etching carried out, cleans anode electrode 11.
And, when the anode electrode 11 shown in Figure 12 is one, also by being respectively mounted the plasma processing apparatus 10 shown in Figure 16 of alternating current power supply 14, alternating current power supply 17 on cathode electrode 12 with anode electrode 11, in plasma CVD equipment. It addition, as already explained, in the way of k < b, set the distance k not exciting the face of plasma to cathode backplates 121 from cathode electrode 12.
It addition, by the plasma processing apparatus 10 with multiple cathode electrode 12 shown in Figure 13 is applied in plasma CVD equipment, and the quantity of the substrate of one-pass film-forming increases, thus film forming disposal ability can be improved.
It is explained above the example that the plasma processing apparatus 10 shown in Fig. 1 is applied to plasma CVD equipment. In the composition shown in Figure 13 or Figure 14, by the gaseous species of Replacement procedure gas 100, and the plasma processing apparatus 10 shown in Fig. 1 can be applied to plasma-etching apparatus or plasma ashing apparatus etc.
Such as, by plasma etching gas is imported in chamber 20 as process gas 100, it may be achieved will be formed in the plasma-etching apparatus that the film etching on substrate 1 removes. Plasma etching gas suitably can select according to the material of etch target, for instance can adopt the fluorine-based gas such as Nitrogen trifluoride (NF3) gas or carbon tetrafluoride (CF4) gas.
And, by plasma ashing gas is imported in chamber 20 as process gas 100, it may be achieved employ the plasma ashing apparatus of plasma processing apparatus 10. Such as, by using oxygen and argon to be used as process gas 100, can using as ashing such as the carbon film being formed on substrate 1 of etching shade or photoresistance film.
As discussed above, by using the plasma processing apparatus 10 that can uniformly and stably generate highdensity plasma on the two sides of cathode electrode 12, and processing speed or the precision of plasma CVD equipment, plasma-etching apparatus, plasma ashing apparatus etc. can be improved.
As described, the present invention has been recorded by the first embodiment and the second embodiment, it should be understood that become the discussion of the part of the disclosure and accompanying drawing does not limit the present invention. According to the disclosure, certainly understand various replacement embodiment, embodiment and application technology to those skilled in the art. It is, the present invention comprises the various embodiments etc. do not recorded certainly herein. Therefore, the technical scope of the present invention is only specified by the appropriate specific item of invention according to described explanation.
Industrial applicability
The plasma processing apparatus of the present invention can be used for generating equably in the purposes of highdensity plasma on the two sides of cathode electrode.

Claims (12)

1. a plasma processing apparatus, it is characterised in that including:
Anode electrode, installation base plate;
Cathode electrode, by with described anode electrode in opposite directions in the way of and configure, have the through hole that peristome is set at mask in opposite directions, configure described anode electrode on the two sides arranging described peristome of described cathode electrode respectively opposite to each other;
Gas supply device, to introducing technology gas between described anode electrode and described cathode electrode; And
Alternating current power supply, to supplying alternating electromotive force between described anode electrode and described cathode electrode, plasmoid is become with process gas described in described cathode electrode chien shih at described anode electrode, and it is generated as hollow cathode discharge at described cathode electrode across the plasma that is internally formed of the described through hole on described two sides
And via the described hollow cathode discharge that the inside of described through hole is formed, ensure that the seriality of described plasma on the described two sides of described cathode electrode.
2. plasma processing apparatus according to claim 1, it is characterised in that:
Described process gas is imported between described anode electrode and described cathode electrode upward by described gas supply device from below.
3. plasma processing apparatus according to claim 2, it is characterised in that:
The gas supply nozzle that described gas supply device configures from the bottom surface along described cathode electrode, sprays described process gas towards the bottom of described cathode electrode.
4. plasma processing apparatus according to claim 1, it is characterised in that:
Described plasma processing apparatus includes multiple described cathode electrode.
5. plasma processing apparatus according to claim 1, it is characterised in that:
In described anode electrode and described cathode electrode at least any one comprises carbon.
6. plasma processing apparatus according to claim 1, it is characterised in that:
The diameter of described through hole is more than 3.8mm and below 8.0mm.
7. plasma processing apparatus according to claim 1, it is characterised in that:
Described cathode electrode surface solid matter configure described peristome.
8. plasma processing apparatus according to claim 1, it is characterised in that:
Described cathode electrode is formed size or the mutually different multiple described through hole of shape of the section shape along long axis direction.
9. plasma processing apparatus according to claim 1, it is characterised in that:
The frequency of the described alternating electromotive force that described alternating current power supply supplies is more than 60Hz and below 27MHz.
10. plasma processing apparatus according to claim 1, it is characterised in that:
The gas using the unstrpped gas comprising film forming is used as described process gas, is being configured on the described substrate on described anode electrode, forms the raw material contained in the described unstrpped gas film as main constituent.
11. plasma processing apparatus according to claim 1, it is characterised in that:
The gas that the film to the surface being formed at the described substrate being arranged on described anode electrode is etched is used to be used as described process gas.
12. plasma processing apparatus according to claim 1, it is characterised in that:
Use the gas comprising oxygen and argon to be used as described process gas, will be formed in the film ashing on the surface of the described substrate being arranged on described anode electrode.
CN201180070316.2A 2011-07-14 2011-09-22 Plasma processing apparatus Expired - Fee Related CN103493602B (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2011-155514 2011-07-14
JP2011155514 2011-07-14
PCT/JP2011/071657 WO2013008344A1 (en) 2011-07-14 2011-09-22 Plasma processing apparatus

Publications (2)

Publication Number Publication Date
CN103493602A CN103493602A (en) 2014-01-01
CN103493602B true CN103493602B (en) 2016-06-08

Family

ID=47505662

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201180070316.2A Expired - Fee Related CN103493602B (en) 2011-07-14 2011-09-22 Plasma processing apparatus

Country Status (4)

Country Link
JP (1) JP5804059B2 (en)
KR (1) KR101485140B1 (en)
CN (1) CN103493602B (en)
WO (1) WO2013008344A1 (en)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
BRPI0916880B1 (en) 2008-08-04 2019-12-10 Agc Flat Glass Na Inc plasma source and coating method using improved chemical vapor deposition of plasma and coating
WO2014188576A1 (en) * 2013-05-24 2014-11-27 株式会社島津製作所 Plasma processing apparatus
EP3138120B1 (en) * 2014-04-30 2018-04-18 Corning Incorporated Etch back processes of bonding material for the manufacture of through-glass vias
US10755901B2 (en) 2014-12-05 2020-08-25 Agc Flat Glass North America, Inc. Plasma source utilizing a macro-particle reduction coating and method of using a plasma source utilizing a macro-particle reduction coating for deposition of thin film coatings and modification of surfaces
WO2016089424A1 (en) 2014-12-05 2016-06-09 Agc Glass Europe, S.A. Hollow cathode plasma source
JP2016197528A (en) * 2015-04-03 2016-11-24 株式会社島津製作所 Plasma processing apparatus
US9721765B2 (en) 2015-11-16 2017-08-01 Agc Flat Glass North America, Inc. Plasma device driven by multiple-phase alternating or pulsed electrical current
US10242846B2 (en) 2015-12-18 2019-03-26 Agc Flat Glass North America, Inc. Hollow cathode ion source
US10573499B2 (en) 2015-12-18 2020-02-25 Agc Flat Glass North America, Inc. Method of extracting and accelerating ions
US10410883B2 (en) 2016-06-01 2019-09-10 Corning Incorporated Articles and methods of forming vias in substrates
US10794679B2 (en) 2016-06-29 2020-10-06 Corning Incorporated Method and system for measuring geometric parameters of through holes
US10134657B2 (en) 2016-06-29 2018-11-20 Corning Incorporated Inorganic wafer having through-holes attached to semiconductor wafer
US10580725B2 (en) 2017-05-25 2020-03-03 Corning Incorporated Articles having vias with geometry attributes and methods for fabricating the same
US11078112B2 (en) 2017-05-25 2021-08-03 Corning Incorporated Silica-containing substrates with vias having an axially variable sidewall taper and methods for forming the same
US11554984B2 (en) 2018-02-22 2023-01-17 Corning Incorporated Alkali-free borosilicate glasses with low post-HF etch roughness
CN112292473A (en) * 2018-06-01 2021-01-29 株式会社岛津制作所 Conductive film forming method and method for manufacturing wiring substrate
CN109358237B (en) * 2018-09-26 2020-11-06 台州学院 Experiment platform for influence of plasma collision frequency on electromagnetic propagation and using method
KR102377982B1 (en) * 2020-06-05 2022-03-23 한국기계연구원 PLASMA REACTOR AND PFCs REDUCTION SCRUBBER
FR3115180B1 (en) * 2020-10-14 2022-11-04 Peter Choi Plasma generating device

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02301134A (en) * 1989-05-16 1990-12-13 Kokusai Electric Co Ltd Plasma controller for plasma generator
JP2001155997A (en) * 1999-11-24 2001-06-08 Kanegafuchi Chem Ind Co Ltd Plasma cvd system and method for fabricating silicon based thin film photoelectric converter
JP2001271168A (en) * 2000-03-24 2001-10-02 Komatsu Ltd Surface treating device
JP2002280377A (en) * 2001-03-19 2002-09-27 Hitachi Kokusai Electric Inc Substrate treatment apparatus
JP2006057122A (en) * 2004-08-18 2006-03-02 Kyoto Institute Of Technology Plasma chemical vapor deposition system and plasma chemical vapor deposition method
WO2009069211A1 (en) * 2007-11-29 2009-06-04 Shimadzu Corporation Plasma process electrode and plasma process device
WO2009125477A1 (en) * 2008-04-08 2009-10-15 株式会社島津製作所 Cathode electrode for plasma cvd and plasma cvd apparatus
JP2010109157A (en) * 2008-10-30 2010-05-13 Shibaura Mechatronics Corp Semiconductor manufacturing apparatus

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1109365A (en) * 1994-01-20 1995-10-04 顾恩友 Cold plasma sterilizing device
CN201172685Y (en) * 2008-03-31 2008-12-31 北京世纪辉光科技发展有限公司 Vertical producing apparatus for double-face plasma surface treated sheet metal
KR101046335B1 (en) * 2008-07-29 2011-07-05 피에스케이 주식회사 Hollow cathode plasma generation method and large area substrate processing method using hollow cathode plasma

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02301134A (en) * 1989-05-16 1990-12-13 Kokusai Electric Co Ltd Plasma controller for plasma generator
JP2001155997A (en) * 1999-11-24 2001-06-08 Kanegafuchi Chem Ind Co Ltd Plasma cvd system and method for fabricating silicon based thin film photoelectric converter
JP2001271168A (en) * 2000-03-24 2001-10-02 Komatsu Ltd Surface treating device
JP2002280377A (en) * 2001-03-19 2002-09-27 Hitachi Kokusai Electric Inc Substrate treatment apparatus
JP2006057122A (en) * 2004-08-18 2006-03-02 Kyoto Institute Of Technology Plasma chemical vapor deposition system and plasma chemical vapor deposition method
WO2009069211A1 (en) * 2007-11-29 2009-06-04 Shimadzu Corporation Plasma process electrode and plasma process device
WO2009125477A1 (en) * 2008-04-08 2009-10-15 株式会社島津製作所 Cathode electrode for plasma cvd and plasma cvd apparatus
JP2010109157A (en) * 2008-10-30 2010-05-13 Shibaura Mechatronics Corp Semiconductor manufacturing apparatus

Also Published As

Publication number Publication date
WO2013008344A1 (en) 2013-01-17
KR101485140B1 (en) 2015-01-22
KR20130137034A (en) 2013-12-13
CN103493602A (en) 2014-01-01
JPWO2013008344A1 (en) 2015-02-23
JP5804059B2 (en) 2015-11-04

Similar Documents

Publication Publication Date Title
CN103493602B (en) Plasma processing apparatus
EP3228160B1 (en) Hollow cathode plasma source
CN111492469B (en) Multi-zone gas distribution system and method
JP5328685B2 (en) Plasma processing apparatus and plasma processing method
KR101841315B1 (en) Rapid and uniform gas switching for a plasma etch process
US7914692B2 (en) Methods of generating plasma, of etching an organic material film, of generating minus ions, of oxidation and nitriding
JP4660498B2 (en) Substrate plasma processing equipment
US7927455B2 (en) Plasma processing apparatus
US20110180213A1 (en) Plasma processing apparatus and plasma processing method
JP2005236124A (en) Shower plate and plasma treatment apparatus
KR20150024277A (en) Semiconductor device manufacturing method
JP4405588B2 (en) Plasma doping apparatus and method, and semiconductor device manufacturing method
CN101971292A (en) Cathode electrode for plasma cvd and plasma cvd apparatus
WO2011104803A1 (en) Plasma generator
JP2010212277A (en) Film forming apparatus
JP2020518984A (en) Linear plasma source with segmented hollow cathode
JP2011109141A (en) Plasma cvd device, and method of manufacturing silicon-based film using the same
KR20170075163A (en) Gas distribution unit and atomic layer deposition apparatus having the gas distribution unit
Baars-Hibbe et al. Micro-structured electrode arrays:: high-frequency discharges at atmospheric pressure—characterization and new applications
JP2006324603A (en) Plasma treatment method and apparatus as well as plasma cvd method and apparatus
JP2011146745A (en) Plasma cvd apparatus and method for manufacturing silicon based film using plasma cvd apparatus
JP2011071544A (en) Plasma processing method and device, and plasma cvd method and device
JP2020047591A (en) Hollow cathode plasma source
WO2014188576A1 (en) Plasma processing apparatus
JP2011129954A (en) Plasma cvd apparatus and method of manufacturing silicon based film using plasma cvd apparatus

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20160608

Termination date: 20180922