CN103226632B - 待替换阈值电压器件的确定方法及装置 - Google Patents

待替换阈值电压器件的确定方法及装置 Download PDF

Info

Publication number
CN103226632B
CN103226632B CN201310109458.6A CN201310109458A CN103226632B CN 103226632 B CN103226632 B CN 103226632B CN 201310109458 A CN201310109458 A CN 201310109458A CN 103226632 B CN103226632 B CN 103226632B
Authority
CN
China
Prior art keywords
threshold voltage
time series
series analysis
analysis pattern
under
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201310109458.6A
Other languages
English (en)
Other versions
CN103226632A (zh
Inventor
包翔元
杨梁
王昊
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Loongson Technology Corp Ltd
Original Assignee
Loongson Technology Corp Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Loongson Technology Corp Ltd filed Critical Loongson Technology Corp Ltd
Priority to CN201310109458.6A priority Critical patent/CN103226632B/zh
Publication of CN103226632A publication Critical patent/CN103226632A/zh
Application granted granted Critical
Publication of CN103226632B publication Critical patent/CN103226632B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

本发明公开了一种待替换阈值电压器件的确定方法及装置,在上述方法中,获取当前集成电路中各个器件之间的连接关系;根据第一参数值集合和延时差集合的计算结果确定第一器件集合;根据连接关系、第二参数值集合以及第一器件集合中的每个器件在多种时序分析模式下的静态功耗优化效率的参数值从第一器件集合中确定待替换阈值电压的第二器件集合。根据本发明提供的技术方案,达到了运行时间短、静态功耗优化效果好、对原来的设计改变少的效果。

Description

待替换阈值电压器件的确定方法及装置
技术领域
本发明涉及集成电路制造和设计领域,具体而言,涉及一种待替换阈值电压器件的确定方法及装置。
背景技术
随着集成电路工艺向超深亚微米和纳米数量级方向的飞速发展,特别是当今移动设备和电池供电装置大规模地推广应用,使得功耗成为制约集成电路发展的一个重要因素。集成电路的功耗由两部分构成:动态功耗和静态功耗。而动态功耗主要是由电路状态转换功耗和短路功耗所组成。电路状态转换功耗是电路中驱动单元输出节点发生状态变化时对负载电容进行充放电所消耗的功率;短路功耗是电路中节点在翻转过程中对标准单元内部节点电容充放电引起的P型晶体管和N型晶体管瞬间导通而产生的瞬时短路功耗;静态功耗,即漏电功耗,是当逻辑门的状态不发生翻转时产生的,也就是当电路处于静止状态时产生的功耗。静态功耗通过亚阈值泄漏功耗、栅氧隧穿泄漏电流和带间隧穿泄漏电流等途径产生。随着集成电路所用到的库单元沟道长度越来越短,栅氧厚度越来越薄,使得现有的漏电流越来越大,从而造成静态功耗在集成电路的总功耗中所占比重越来越大,因此,对现有集成电路的静态功耗的优化越来越受到人们的重视。
多阈值电压器件替换的方法是目前工业界用来降低静态功耗应用范围最广的方法。同一个器件特征标示(cell_footprint)的不同阈值电压的器件的功能、形状、大小及引脚的位置都是相同的,替换后不会改变设计所实现的功能、器件的布局及器件连线的布线。高阈值的晶体管的漏电电路比较小,但延迟时间比较大;低阈值的晶体管的漏电电路比较大,但延迟时间比较小。多阈值电压器件替换的方法就是结合高阈值电压器件和低阈值电压器件的各自优点,在电路的时序关键路径中使用低阈值的器件来提高集成电路的性能,在电路的时序非关键路径中使用高阈值的器件来降低静态功耗。
工艺、电压和温度是影响电路性能的三个基本因素,但是不可能穷尽其所有组合来分析电路行为。相关技术中,在签核(signoff)阶段通常采用抽样分析的方法,考虑这三者的极端情况组合,以保证设计在整个组合空间中都能正常工作。
在进入纳米工艺之后,上述抽样分析方法遭遇了极大的挑战,最主要的问题在于采样点数目急剧膨胀。由于随着晶体管尺寸的不断变小,时序路径延迟由之前的器件延迟占主导地位变为由线延迟占主导地位。计算线延迟时,电阻电容的提取方式对静态时序分析非常重要。现代数字电路要保证能在各种极端环境下正常工作,而且在各种环境下的频率要求不尽相同,因此物理设计中考虑工作电压温度要比以前多很多。为了满足数字电路高性能要求的同时也要考虑低功耗,因此数字电路存在着多个工作模式,例如:在正常工作模式下数字电路运行在比较高的频率下,这时数字电路功耗比较大,当数字电路处于休眠模式时,数字电路运行在比较低的频率下,这时数字电路功耗比较低。可以将数字电路以某种工作模式运行在某个工艺角下定义为数字电路的一种时序分析模式(scenario)。当数字电路有m1种工作电压、m2种工作温度、m3种提取电容电阻的模式、m4种工作模式时,数字电路总的scenario数量就有m1*m2*m3*m4种。随着工艺逐步改进,为了保证数字电路时序分析的精度,工作电压种类、工作温度种类、电阻电容提取模式种类、数字电路工作模式种类都会发生增长,数字电路的时序分析模式会呈指数级增长的趋势。因此,如何在多工艺角多模式下在不降低集成电路的性能的前提下快速地获取可以替换为高阈值器件的器件来降低静态功耗对设计者而言是一个巨大的挑战。
下面对相关技术中几种主要的降低大规模集成电路静态功耗的技术方案进行介绍。
技术方案一、该发明首先将所有的器件均替换为低阈值电压的器件,其次计算每个逻辑块的延迟时间t1和静态功耗p1,再次计算替换为相应的高阈值器件后的延迟时间t2和静态功耗p2,从而得到△t=t2-t1,△p=p1-p2,然后在判断T(slack)-△t是否大于0,如果是,则该器件可以替换为高阈值电压的器件。
该技术方案存在以下几点缺陷:
(1)该发明没有考虑到多工艺角多模式情况下如何降低静态功耗的情况;
(2)该发明仅考虑了两种电压阈值的情况,而随着工艺的发展,器件的电压阈值越来越多,电压阈值的增多会使得该发明的技术方案效率较低;
(3)该发明要计算每一个逻辑块的延迟时间和静态功耗,特别是在多工艺角多模式下,需要耗费大量的时间;
(4)该发明在选择器件替换为高阈值电压器件时,只是考虑到是否违反建立时间松弛的问题,而没有考虑到替换哪个器件能够高效地降低静态功耗。
技术方案二、该发明首先将逻辑器件更换为电压阈值为高阈值电压的器件,然后找出不符合时序约束的路径,根据路径中每个器件的上升下降时间和输出电容值及单元库中的延迟信息来估算出替换之后的延迟值变化,再根据(N*△t)/△p,其中,N为经过器件的违反保持时间约束的路径数,△t为变换为低阈值电压器件时延迟变化,△p为变换成低阈值电压器件时静态功耗变化,以判断出路径上哪些器件对静态功耗的影响比较大,最后替换选取的器件,直至所有的路径都符合建立时间约束。
该技术方案存在以下几点缺陷:
(1)该发明没有考虑到多工艺角多模式情况下优化静态功耗的问题;
(2)该发明中根据每个器件的上升下降时间、输出电容值及单元库里的延迟信息来计算器件的延迟值,但当遇到多输入或者多输出的逻辑器件时根据这种方法计算延迟值时还需要根据不同的输入状态才能计算出延迟值,其效率比较低。
技术方案三、该发明首先分析单元库,通过计算库中每种类型的器件在不同的阈值电压下的△D/△P,分析出每种类型的器件的最优阈值电压值;其次将设计中所有的器件替换为最优阈值电压值;然后选取建立时间违例的路径,并找出路径中的关键的器件替换为低阈值电压的器件来修复建立时间违例,直到所有路径满足建立时间约束为止;最后找出建立时间松弛为正的路径,并找出路径中的关键器件在建立时间不违反约束的条件下替换为高阈值电压的器件。
该技术方案存在以下几点缺陷:
(1)该发明没有考虑到多工艺角多模式情况下优化静态功耗的问题;
(2)该发明通过△D/△P来确定每种类型的器件的最优阈值电压值,但实质上器件的延迟不只跟阈值电压相关,还与器件的输入端的上升下降时间及输出电容相关,所以只是根据库单元而不根据器件的实质信息来确定最优阈值电压值是不准确的。
发明内容
本发明提供了一种待替换阈值电压器件的确定方法及装置,以至少解决相关技术中阈值电压器件的替换方法花费时间过长、静态功耗较大的问题。
根据本发明的一个方面,提供了一种阈值电压器件的替换方法。
根据本发明的阈值电压器件的替换方法包括:获取当前集成电路中各个器件之间的连接关系;根据第一参数值集合和延时差集合的计算结果确定第一器件集合,其中,第一参数值集合包括:位于连接关系的输入端的每个器件在多种时序分析模式中的每种时序分析模式下的建立时间松弛的参数值,延时差集合包括:位于连接关系的输入端的每个器件所在路径的其余器件中每个器件在每种时序分析模式下的最低阈值电压与最高阈值电压的延时差;根据连接关系、第二参数值集合以及第一器件集合中的每个器件在多种时序分析模式下的静态功耗优化效率的参数值从第一器件集合中确定待替换阈值电压的第二器件集合,其中,第二参数值集合包括:第一器件集合中的每个器件在每种时序分析模式下的建立时间松弛的参数值。
优选地,根据第一参数值集合和延时差集合的计算结果确定第一器件集合包括:分别获取位于连接关系输入端的每个器件在每种时序分析模式下的建立时间松弛的参数值;分别获取位于连接关系输入端的每个器件所在路径的其余器件中每个器件在每种时序分析模式下的最低阈值电压与最高阈值电压的延时差;分别计算在每种时序分析模式下位于连接关系输入端的器件的建立时间松弛的参数值依次减去该器件所在路径上其余器件中每个器件在该种时序分析模式下的最低阈值电压与最高阈值电压的延时差的结果,并将计算结果小于或者等于0的路径上的全部器件加入至第一器件集合。
优选地,根据连接关系、第二参数值集合以及第一器件集合中的每个器件在多种时序分析模式下的静态功耗优化效率的参数值从第一器件集合中确定待替换阈值电压的第二器件集合包括:判断第一器件集合中的每个器件在多种时序分析模式下的建立时间松弛的参数值集合中的每个参数值是否均大于0;根据以下至少之一在判断结果均大于0的器件中确定第二器件集合:每个器件所在的路径的数目、替换该器件所影响到的该器件所在路径上的器件的数量、该器件在多种时序分析模式下的静态功耗优化效率的参数值。
优选地,采用以下公式根据每个器件所在的路径的数目和该器件在多种时序分析模式下的静态功耗优化效率的参数值确定第二器件集合:△P/(△D*N),选取计算结果数值最大的一个或多个器件加入至第二器件集合,其中,△P为判断结果均大于0的每个器件在多种时序分析模式下的最高阈值电压与最低阈值电压的平均静态功耗差,△D为该器件在多种时序分析模式下的最高阈值电压与最低阈值电压的平均器件延迟差,N为每个器件所在的路径的数目。
优选地,在确定待替换阈值电压的第二器件集合之后,还包括:获取待使用的多个阈值电压;采用每个待使用的阈值电压与当前使用的阈值电压在每种时序分析模式下的延迟值的差值减去该待使用的阈值电压在该种时序分析模式下的建立时间松弛的参数值;如果计算结果为负的待使用的阈值电压为多个时,则将在多种时序分析模式下的静态功耗优化效率最高的待使用的阈值电压确定为待选用的阈值电压,并将第二器件集合中每个器件均替换为与待选用的阈值电压对应的器件类型。
优选地,在将第二器件集合中每个器件均替换为与待选用的阈值电压对应的器件类型之后,还包括:根据待选用的阈值电压在每种时序分析模式下的延迟值更新第二器件集合中每个器件当前在每种时序分析模式下的建立时间松弛的参数值;根据第二器件集合中每个器件更新后的在每种时序分析模式下的建立时间松弛的参数值更新该器件所在路径上其余器件中每个器件在每种时序分析模式下的建立时间松弛的参数值。
根据本发明的另一方面,提供了一种阈值电压器件的替换装置。
根据本发明的阈值电压器件的替换装置包括:第一获取模块,用于获取当前集成电路中各个器件之间的连接关系;第一确定模块,用于根据第一参数值集合和延时差集合的计算结果确定第一器件集合,其中,第一参数值集合包括:位于连接关系的输入端的每个器件在多种时序分析模式中的每种时序分析模式下的建立时间松弛的参数值,延时差集合包括:位于连接关系的输入端的每个器件所在路径的其余器件中每个器件在每种时序分析模式下的最低阈值电压与最高阈值电压的延时差;第二确定模块,用于根据连接关系、第二参数值集合以及第一器件集合中的每个器件在多种时序分析模式下的静态功耗优化效率的参数值从第一器件集合中确定待替换阈值电压的第二器件集合,其中,第二参数值集合包括:第一器件集合中的每个器件在每种时序分析模式下的建立时间松弛的参数值。
优选地,第一确定模块包括:第一获取单元,用于分别获取位于连接关系输入端的每个器件在每种时序分析模式下的建立时间松弛的参数值;第二获取单元,用于分别获取位于连接关系输入端的每个器件所在路径的其余器件中每个器件在每种时序分析模式下的最低阈值电压与最高阈值电压的延时差;计算单元,用于分别计算在每种时序分析模式下位于连接关系输入端的器件的建立时间松弛的参数值依次减去该器件所在路径上其余器件中每个器件在该种时序分析模式下的最低阈值电压与最高阈值电压的延时差的结果,并将计算结果小于或者等于0的路径上的全部器件加入至第一器件集合。
优选地,第二确定模块包括:判断单元,用于判断第一器件集合中的每个器件在多种时序分析模式下的建立时间松弛的参数值集合中的每个参数值是否均大于0;确定单元,用于根据以下至少之一在判断结果均大于0的器件中确定所述第二器件集合:每个器件所在的路径的数目、替换该器件所影响到的该器件所在路径上的器件的数量、该器件在多种时序分析模式下的静态功耗优化效率的参数值。
优选地,确定单元采用以下公式根据每个器件所在的路径的数目和该器件在多种时序分析模式下的静态功耗优化效率的参数值确定第二器件集合:△P/(△D*N),选取计算结果数值最大的一个或多个器件加入至第二器件集合,其中,△P为判断结果均大于0的每个器件在多种时序分析模式下的最高阈值电压与最低阈值电压的平均静态功耗差,△D为该器件在多种时序分析模式下的最高阈值电压与最低阈值电压的平均器件延迟差,N为每个器件所在的路径的数目。
优选地,上述装置还包括:第二获取模块,用于获取待使用的多个阈值电压;计算模块,用于采用每个待使用的阈值电压与当前使用的阈值电压在每种时序分析模式下的延迟值的差值减去该待使用的阈值电压在该种时序分析模式下的建立时间松弛的参数值;替换模块,用于在计算结果为负的待使用的阈值电压为多个时,则将在多种时序分析模式下的静态功耗优化效率最高的待使用的阈值电压确定为待选用的阈值电压,并将第二器件集合中每个器件均替换为与待选用的阈值电压对应的器件类型。
优选地,上述装置还包括:第一更新模块,用于根据待选用的阈值电压在每种时序分析模式下的延迟值更新第二器件集合中每个器件当前在每种时序分析模式下的建立时间松弛的参数值;第二更新模块,用于根据第二器件集合中每个器件更新后的在每种时序分析模式下的建立时间松弛的参数值更新该器件所在路径上其余器件中每个器件在每种时序分析模式下的建立时间松弛的参数值。
通过本发明,采用获取当前集成电路中各个器件之间的连接关系;根据第一参数值集合和延时差集合的计算结果确定第一器件集合,第一参数值集合包括:位于连接关系的输入端的每个器件在多种时序分析模式中的每种时序分析模式下的建立时间松弛的参数值,延时差集合包括:位于连接关系的输入端的每个器件所在路径的其余器件中每个器件在每种时序分析模式下的最低阈值电压与最高阈值电压的延时差;根据连接关系、第二参数值集合以及第一器件集合中的每个器件在多种时序分析模式下的静态功耗优化效率的参数值从第一器件集合中确定待替换阈值电压的第二器件集合,第二参数值集合包括:第一器件集合中的每个器件在每种时序分析模式下的建立时间松弛的参数值,解决了相关技术中阈值电压器件的替换方法花费时间过长、静态功耗较大的问题,进而达到了运行时间短、静态功耗优化效果好、对原来的设计改变少的效果。
附图说明
此处所说明的附图用来提供对本发明的进一步理解,构成本申请的一部分,本发明的示意性实施例及其说明用于解释本发明,并不构成对本发明的不当限定。在附图中:
图1是根据本发明实施例的阈值电压器件的替换方法的流程图;
图2是根据本发明优选实施例的静态功耗优化的流程图;
图3是根据本发明优选实施例的以器件的端口为点、器件端口之间的连接关系为线的示意图;
图4是根据本发明优选实施例的局部路径示意图;
图5是根据本发明实施例的阈值电压器件的替换装置的结构框图;
图6是根据本发明优选实施例的阈值电压器件的替换装置的结构框图。
具体实施方式
下文中将参考附图并结合实施例来详细说明本发明。需要说明的是,在不冲突的情况下,本申请中的实施例及实施例中的特征可以相互组合。
图1是根据本发明实施例的阈值电压器件的替换方法的流程图。如图1所示,该方法可以包括以下处理步骤:
步骤S102:获取当前集成电路中各个器件之间的连接关系;
步骤S104:根据第一参数值集合和延时差集合的计算结果确定第一器件集合,其中,第一参数值集合包括:位于连接关系的输入端的每个器件在多种时序分析模式中的每种时序分析模式下的建立时间松弛的参数值,延时差集合包括:位于连接关系的输入端的每个器件所在路径的其余器件中每个器件在每种时序分析模式下的最低阈值电压与最高阈值电压的延时差;
步骤S106:根据连接关系、第二参数值集合以及第一器件集合中的每个器件在多种时序分析模式下的静态功耗优化效率的参数值从第一器件集合中确定待替换阈值电压的第二器件集合,其中,第二参数值集合包括:第一器件集合中的每个器件在每种时序分析模式下的建立时间松弛的参数值。
在相关技术中,由于现有的技术方案在进行阈值电压替换的时候没有考虑到多种时序分析模式(即多工艺角多模式),因此,当在一个工艺角一个模式下进行多阈值器件的替换会造成整体设计在其他工艺角模式下建立时间违例,由此又需要修复建立时间违例,进而使得设计周期过长,影响整个设计的完成时间。采用如图1所示的方法,首先,获取当前集成电路中各个器件之间的连接关系;其次,根据,位于连接关系的输入端的每个器件在多种时序分析模式中的每种时序分析模式下的建立时间松弛的参数值以及位于连接关系的输入端的每个器件所在路径的其余器件中每个器件在每种时序分析模式下的最低阈值电压与最高阈值电压的延时差的计算结果确定第一器件集合;然后,根据上述连接关系、第一器件集合中的每个器件在每种时序分析模式下的建立时间松弛的参数值以及第一器件集合中的每个器件在多种时序分析模式下的静态功耗优化效率的参数值从第一器件集合中确定待替换阈值电压的第二器件集合。即考虑到在多种时序分析模式的时序约束下选择需要替换阈值电压的器件,以避免在某种时序分析模式下选择的替换阈值电压的器件在其他时序分析模式下会造成建立时间违例的现象。由此解决了相关技术中阈值电压器件的替换方法花费时间过长、静态功耗较大的问题,从而达到了运行时间短、静态功耗优化效果好、对原来的设计改变少的效果。
需要说明的是,上述第一器件集合用于对当前集成电路中的各个器件进行划分,可以将全部器件分成关键器件和非关键器件两类,属于第一器件集合的均为关键器件,其中,如果被标示为非关键器件,则可以直接替换为该器件的最高阈值电压;如果被标示为关键器件,则需要考虑在该器件替换为最高阈值电压后是否会违反时序约束,而不能直接替换为该器件的最高阈值电压。
优选地,在步骤S104中,根据第一参数值集合和延时差集合的计算结果确定第一器件集合可以包括以下步骤:
步骤S1:分别获取位于连接关系输入端的每个器件在每种时序分析模式下的建立时间松弛的参数值;
步骤S2:分别获取位于连接关系输入端的每个器件所在路径的其余器件中每个器件在每种时序分析模式下的最低阈值电压与最高阈值电压的延时差;
步骤S3:分别计算在每种时序分析模式下位于连接关系输入端的器件的建立时间松弛的参数值依次减去该器件所在路径上其余器件中每个器件在该种时序分析模式下的最低阈值电压与最高阈值电压的延时差的结果,并将计算结果小于或者等于0的路径上的全部器件加入至第一器件集合。
优选地,在步骤S106中,根据连接关系、第二参数值集合以及第一器件集合中的每个器件在多种时序分析模式下的静态功耗优化效率的参数值从第一器件集合中确定待替换阈值电压的第二器件集合可以包括以下操作:
步骤S4:判断第一器件集合中的每个器件在多种时序分析模式下的建立时间松弛的参数值集合中的每个参数值是否均大于0;
步骤S5:根据以下至少之一在判断结果均大于0的器件中确定第二器件集合:每个器件所在的路径的数目、替换该器件所影响到的该器件所在路径上的器件的数量、该器件在多种时序分析模式下的静态功耗优化效率的参数值。
在优选实施过程中,可以采用以下公式根据每个器件所在的路径的数目和该器件在多种时序分析模式下的静态功耗优化效率的参数值确定第二器件集合:
△P/(△D*N),
选取计算结果数值最大的一个或多个器件加入至第二器件集合,其中,△P为判断结果均大于0的每个器件在多种时序分析模式下的最高阈值电压与最低阈值电压的平均静态功耗差,△D为该器件在多种时序分析模式下的最高阈值电压与最低阈值电压的平均器件延迟差,N为每个器件所在的路径的数目。
优选地,在步骤S106,确定待替换阈值电压的第二器件集合之后,还可以包括以下处理:
步骤S6:获取待使用的多个阈值电压;
步骤S7:采用每个待使用的阈值电压与当前使用的阈值电压在每种时序分析模式下的延迟值的差值减去该待使用的阈值电压在该种时序分析模式下的建立时间松弛的参数值;
步骤S8:如果计算结果为负的待使用的阈值电压为多个时,则将在多种时序分析模式下的静态功耗优化效率最高的待使用的阈值电压确定为待选用的阈值电压,并将第二器件集合中每个器件均替换为与待选用的阈值电压对应的器件类型。
现有的技术方案往往仅考虑两种阈值电压器件的替换,而没有考虑到多阈值电压器件的替换,将两种阈值电压器件替换的方法应用于多阈值电压器件替换的情况中会造成替换时间过长,静态功耗得不到最大程度的优化。采用本发明所提供的技术方案,可以在不违反建立时间约束的条件下选择需要替换阈值电压的器件的最佳阈值电压来进行替换,并且根据替换后的器件的时序变化情况对所影响到的时序路径上的其他器件的时序进行更新,由此在不影响设计的多工艺角多模式的时序的情况下,通过快速将逻辑器件替换为最佳的阈值电压,以降低整体设计的静态功耗。
优选地,在步骤S8,将第二器件集合中每个器件均替换为与待选用的阈值电压对应的器件类型之后,还可以包括以下操作:
步骤S9:根据待选用的阈值电压在每种时序分析模式下的延迟值更新第二器件集合中每个器件当前在每种时序分析模式下的建立时间松弛的参数值;
步骤S10:根据第二器件集合中每个器件更新后的在每种时序分析模式下的建立时间松弛的参数值更新该器件所在路径上其余器件中每个器件在每种时序分析模式下的建立时间松弛的参数值。
本发明考虑到在多工艺角多模式(即多种时序分析模式)的时序约束下,根据逻辑器件的连接关系(即物理拓扑结构)、时序情况及单元库里的时序信息来选择需要替换阈值电压的逻辑单元,然后在不违反建立时间约束的条件下选择逻辑单元的最佳阈值电压来进行替换,并且根据替换后的逻辑单元的时序变化情况对所影响到的时序路径上的其他单元的时序进行更新。由此本发明提供了一种在存在多阈值电压的设计中,在不影响设计的多工艺角多模式的时序的情况下,通过快速将逻辑器件替换为最佳的阈值电压,以降低整体设计的静态功耗的方法。
图2是根据本发明优选实施例的静态功耗优化的流程图。如图2所示,该流程可以包括以下处理步骤:
步骤S202:将全部逻辑器件均变换为最低阈值电压的器件,这样可以统一地从同一形式的阈值电压开始寻找各个逻辑器件的最佳阈值电压,最终使得整个设计的静态功耗降到最低;
步骤S204:各个时序分析模型的基本信息的提取是从签核时序报告中提取出各个时序分析模型下必要的设计信息;
在该优选实施例中,需要提取的信息可以包括但不限于以下至少之一:不可改变属性的线和器件、设计中每个器件端口(pin)上的建立松弛(setuptimingslack)、器件内部端口到端口的时间弧(timingarc),并将时钟路径和普通的时序路径分离开来。
步骤S206:分析单元库的信息,即从单元库中各个器件时序信息分析出器件在不同工艺角不同模式下的线性特征值。替换不同阈值电压的器件在带来静态功耗变化的同时也会带来时序上的变化。特别是在多个工艺角多模式下器件可以替换为多个阈值电压器件的情况下,为了估算出器件在替换成新的阈值电压过后,其对时序路径在多个工艺角多模式下的延迟值的变化,就要估算出器件的每个阈值电压在每个工艺角每个模式下的延迟值,如果按照一般的方法来估算上述延迟值将会耗费大量的时间,降低了静态功耗优化的效率。而在本发明所提供的技术方案中,通过分析单元库的信息从而能够快速地估算出每个阈值电压在每个工艺角每个模式下的延迟值。器件的延迟值表达式如公式1所示:
D ( v ) = KCV d d ( V d d - V t h ) α ……公式1
其中,C为器件输出的电容值,Vth为器件的阈值电压,α是与器件的沟道长度、长宽比相关的值,K为常量。
上述公式1可以通过泰勒展开并忽略到二次及以上的项,从而得到公式2:
D ( v ) = KCV d d 1 - α ( 1 + αV t h / V d d ) ……公式2
从公式2可以看出,在K、C、Vdd、α不变的情况下,器件的延迟与器件的阈值电压呈一次线性相关。本发明所提供的技术方案通过分析单元库中各个单元器件在不同阈值电压下在不同的输入上升下降时间、输出电容的条件下的时序信息,采用线性回归的方法得到器件在不同阈值电压下的延迟关系,如公式3所示:
DB=K*DA+Y……公式3
其中,DA为器件在A阈值电压下的延迟,DB为器件在B阈值电压下的延迟,K和Y为不同的器件在不同的阈值电压下的延迟特征值,它们可以通过分析单元库的时序信息线性回归来获取。根据上述公式可以根据器件的一种阈值电压在所有的工艺角所有模式的延迟快速估算出器件的所有阈值电压在所有的工艺角所有的模式下的延迟。
此外,分析单元库的信息还有另外一个作用:统计出单元库里各个器件在各个工艺角各个模式下最低阈值电压与最高阈值电压在所有的输入上升下降时间和输出电容的条件下的最大延迟差,将会在下一步构造设计的逻辑连接关系中作为判断路径是否为关键路径的标准。
步骤S208:构造设计的逻辑连接关系的作用是为了构建设计的器件间的连接关系图,并记录经过每个器件的路径数目以及区分设计中的关键路径与非关键路径,对后面选择需要替换的逻辑器件和时序的更新发挥着重要作用。构造设计的逻辑连接关系可以有多种实现方式,既可以以器件为点、器件之间的连接关系为线,也可以以器件的端口为点、器件端口之间的连接关系为线。在该优选实施例中,图3是根据本发明优选实施例的以器件的端口为点、器件端口之间的连接关系为线的示意图。具体过程如下:从触发器(register)的D端或者输出端口(outputport)触发跟踪器件的连接关系,直到触发器的输出端或者输入端口(inputport)终止,形成一个电路的关系图G=(V,E),V代表设计中的pin或者port,E代表(端口u,端口v)或者(端口j,端口k)所构成的边。实线(u,v)表示端口u和端口v之间存在的连接关系为器件之间的连接关系,虚线(j,k)表示端口j和端口k之间存在的连接关系为器件内部的连接关系;如图3所示,A、B、E为同一个器件的3个端口,在该器件内部存在着以下连接关系:(A,E)和(B、E),即A和E之间存在连接关系,B和E之间也存在连接关系,由于上述(A,E)和(B、E)均为器件内部的连接,因此,可以采用虚线加以表示。同理,E、F、G、I分别为同一个器件的4个端口,在该器件内部存在着以下连接关系:(H,I)、(F、I)和(G,I),即H、F、G分别与I相连接,由于上述(H,I)、(F、I)和(G,I)均为器件内部的连接,因此,同样可以采用虚线加以表示。而E和H、C和F以及D和G彼此之间均为不同器件的端口,因此,(E,H)、(C,F)和(D、G)均采用实线加以表示,说明上述连接关系为器件之间的连接关系。
步骤S210:从触发器的输入端开始,根据设计的连接关系向前追踪,直到触发器的输出端或者设计的输入端口为止作为设计中的一条路径,将路径上的每个器件的经过器件路径数目的属性加1,并用路径起始端的触发器(即连接关系的输入端的器件)在各种时序分析模式下的建立时间松弛减去路径上各个器件在各种时序分析模式下最低阈值电压与最高阈值电压的最大延迟差。当计算结果为正时,将路径上所有的器件均标示为非关键器件,继续执行步骤S212,否则,标示为关键器件(即上述第一器件集合中的器件),转到步骤S214;
步骤S212:被标示为非关键器件的器件由于经过步骤S210的估算全部替换为阈值最高的器件不会违反时序约束,所以可以直接将全部非关键器件替换成阈值最高的器件;
步骤S214:被标示为关键器件的器件(相当于上述第一器件集合)在替换高阈值电压的器件时有可能会违反时序约束,所以需要经过以下选择替换器件、选择替换器件的阈值电压、对替换过后的器件所影响到的路径进行时序更新步骤;
选择替换阈值电压的逻辑器件(相当于上述第二器件集合)是要选出变换阈值电压后对静态功耗优化影响大、而对时序影响小的器件。由于要将低阈值电压的器件替换为高阈值电压的器件,在降低静态功耗的同时必然会降低路径上的建立时间松弛,因此需要在不违反设计的时序约束的条件下使得静态功耗得到最大优化,就要选择那些器件建立时间松弛对路径建立时间松弛影响小以及那些降低相同的建立时间松弛却能得到更大的静态功耗优化的器件。本发明所提供的技术方案可以根据构造设计的逻辑连接关系中被标示为关键器件的逻辑器件的物理拓扑结构,建立时间松弛情况,静态功耗优化效率来选择需要替换阈值电压的逻辑器件,其中,静态功耗优化效率可以采用以下公式来表示:
△P/△D……公式4
其中,△P为计算结果为正的每个器件在多种时序分析模式下的最高阈值电压与最低阈值电压的平均静态功耗差,△D为该器件在多种时序分析模式下的最高阈值电压与最低阈值电压的平均器件延迟差。器件的物理拓扑结构决定了器件被替换后所影响到路径上的其它的器件的数量,例如:图4是根据本发明优选实施例的局部路径示意图。如图4所示,由于A器件的替换所影响到的器件数量为4(即A、B、D和E),而由于B器件的替换所影响到的器件的数量为5(即A、B、C、D和E),因此,从物理拓扑结构的角度而言,替换A器件优先于替换B器件。由于替换器件不能违反设计的时序约束,所以选择替换的器件的建立时间松弛要大于零。要使得静态功耗能得到最大的优化,就要选择静态功耗优化效率较高的器件,在计算器件不同阈值电压下的延迟差△D时运用步骤S206得到的K值、Y值、公式3以及步骤S204中提取的器件延迟信息即可快速地得出器件替换不同阈值电压下的延迟差。在选择可替换的器件时可以结合物理拓扑结构、建立时间松弛情况、静态功耗优化效率等多个因素,本发明提供一种可行性方法为:在建立时间松弛为正的标示为关键器件的器件中选择数值△P/(△D*N)最大的器件来作为要替换阈值电压的器件,其中,N为通过器件的路径数量。
确定逻辑器件所要替换的阈值电压。在器件存在多个阈值电压时,在不违反时序约束的条件下选择静态功耗优化效率高的阈值电压来优化静态功耗。首先通过公式3来计算器件在各个阈值电压下各个工艺角模型下的器件延迟变化情况,其次将延迟变化情况与各个工艺角各个模式中的建立时间松弛进行比较以判断是否违反时序约束,若器件在所有的阈值电压下均违反了时序约束,则将器件标示为不可替换,否则计算不违反时序约束的阈值电压下的静态功耗优化效率(△P/△D),然后将器件替换为静态功耗优化效率最大的阈值电压。
更新影响到路径上其他器件的时序信息是在根据上述得到的替换阈值电压后的器件在各个工艺角各个模式下的延迟差来更新器件本身在各个工艺角各个模式下的建立时间松弛信息,并根据上述构建的连接关系图对替换器件在的时序路径上所影响到的器件进行建立时间松弛的更新。
步骤S216:形成技术更改指令(ECO)文件。
图5是根据本发明实施例的阈值电压器件的替换装置的结构框图。如图5所示,该阈值电压器件的替换装置可以包括:第一获取模块10,用于获取当前集成电路中各个器件之间的连接关系;第一确定模块20,用于根据第一参数值集合和延时差集合的计算结果确定第一器件集合,其中,第一参数值集合包括:位于连接关系的输入端的每个器件在多种时序分析模式中的每种时序分析模式下的建立时间松弛的参数值,延时差集合包括:位于连接关系的输入端的每个器件所在路径的其余器件中每个器件在每种时序分析模式下的最低阈值电压与最高阈值电压的延时差;第二确定模块30,用于根据连接关系、第二参数值集合以及第一器件集合中的每个器件在多种时序分析模式下的静态功耗优化效率的参数值从第一器件集合中确定待替换阈值电压的第二器件集合,其中,第二参数值集合包括:第一器件集合中的每个器件在每种时序分析模式下的建立时间松弛的参数值。
采用如图5所示的装置,解决了相关技术中阈值电压器件的替换方法花费时间过长、静态功耗较大的问题,进而达到了运行时间短、静态功耗优化效果好、对原来的设计改变少的效果。
优选地,如图6所示,第一确定模块20可以包括:第一获取单元200,用于分别获取位于连接关系输入端的每个器件在每种时序分析模式下的建立时间松弛的参数值;第二获取单元202,用于分别获取位于连接关系输入端的每个器件所在路径的其余器件中每个器件在每种时序分析模式下的最低阈值电压与最高阈值电压的延时差;计算单元204,用于分别计算在每种时序分析模式下位于连接关系输入端的器件的建立时间松弛的参数值依次减去该器件所在路径上其余器件中每个器件在该种时序分析模式下的最低阈值电压与最高阈值电压的延时差的结果,并将计算结果小于或者等于0的路径上的全部器件加入至第一器件集合。
优选地,如图6所示,第二确定模块30可以包括:判断单元300,用于判断第一器件集合中的每个器件在多种时序分析模式下的建立时间松弛的参数值集合中的每个参数值是否均大于0;确定单元302,用于根据以下至少之一在判断结果均大于0的器件中确定所述第二器件集合:每个器件所在的路径的数目、替换该器件所影响到的该器件所在路径上的器件的数量、该器件在多种时序分析模式下的静态功耗优化效率的参数值。
在优选实施过程中,确定单元302可以采用以下公式根据每个器件所在的路径的数目和该器件在多种时序分析模式下的静态功耗优化效率的参数值确定第二器件集合:
△P/(△D*N),
选取计算结果数值最大的一个或多个器件加入至第二器件集合,其中,△P为判断结果均大于0的每个器件在多种时序分析模式下的最高阈值电压与最低阈值电压的平均静态功耗差,△D为该器件在多种时序分析模式下的最高阈值电压与最低阈值电压的平均器件延迟差,N为每个器件所在的路径的数目。
优选地,如图6所示,上述装置还包括:第二获取模块40,用于获取待使用的多个阈值电压;计算模块50,用于采用每个待使用的阈值电压与当前使用的阈值电压在每种时序分析模式下的延迟值的差值减去该待使用的阈值电压在该种时序分析模式下的建立时间松弛的参数值;替换模块60,用于在计算结果为负的待使用的阈值电压为多个时,则将在多种时序分析模式下的静态功耗优化效率最高的待使用的阈值电压确定为待选用的阈值电压,并将第二器件集合中每个器件均替换为与待选用的阈值电压对应的器件类型。
优选地,如图6所示,上述装置还包括:第一更新模块70,用于根据待选用的阈值电压在每种时序分析模式下的延迟值更新第二器件集合中每个器件当前在每种时序分析模式下的建立时间松弛的参数值;第二更新模块80,用于根据第二器件集合中每个器件更新后的在每种时序分析模式下的建立时间松弛的参数值更新该器件所在路径上其余器件中每个器件在每种时序分析模式下的建立时间松弛的参数值。
从以上的描述中,可以看出,上述实施例实现了如下技术效果(需要说明的是这些效果是某些优选实施例可以达到的效果):本发明所提供的技术方案考虑到数字电路设计中在多工艺角多模式下的静态功耗优化,将所有设计中的逻辑器件变为低阈值电压的器件、提取设计在各个时序分析模型的基本信息、分析单元库的信息,构造设计的逻辑连接关系、选择替换阈值电压的逻辑器件、确定逻辑器件所要替换的阈值电压、更新阈值电压替换后对时序路径的影响等步骤以使得设计在满足多工艺角多模式的建立时间约束的情况下优化静态功耗,具有运行时间短、静态功耗优化效果好、对原来的设计改变少的优点。
显然,本领域的技术人员应该明白,上述的本发明的各模块或各步骤可以用通用的计算装置来实现,它们可以集中在单个的计算装置上,或者分布在多个计算装置所组成的网络上,可选地,它们可以用计算装置可执行的程序代码来实现,从而,可以将它们存储在存储装置中由计算装置来执行,并且在某些情况下,可以以不同于此处的顺序执行所示出或描述的步骤,或者将它们分别制作成各个集成电路模块,或者将它们中的多个模块或步骤制作成单个集成电路模块来实现。这样,本发明不限制于任何特定的硬件和软件结合。
以上所述仅为本发明的优选实施例而已,并不用于限制本发明,对于本领域的技术人员来说,本发明可以有各种更改和变化。凡在本发明的精神和原则之内,所作的任何修改、等同替换、改进等,均应包含在本发明的保护范围之内。

Claims (12)

1.一种待替换阈值电压器件的确定方法,其特征在于,包括:
获取当前集成电路中各个器件之间的连接关系;
根据第一参数值集合和延时差集合的计算结果确定第一器件集合,其中,所述第一参数值集合包括:位于所述连接关系的输入端的每个器件在多种时序分析模式中的每种时序分析模式下的建立时间松弛的参数值,所述延时差集合包括:位于所述连接关系的输入端的每个器件所在路径的其余器件中每个器件在所述每种时序分析模式下的最低阈值电压与最高阈值电压的延时差;
根据所述连接关系、第二参数值集合以及所述第一器件集合中的每个器件在所述多种时序分析模式下的静态功耗优化效率的参数值从所述第一器件集合中确定待替换阈值电压的第二器件集合,其中,所述第二参数值集合包括:所述第一器件集合中的每个器件在所述每种时序分析模式下的建立时间松弛的参数值。
2.根据权利要求1所述的方法,其特征在于,根据所述第一参数值集合和所述延时差集合的计算结果确定所述第一器件集合包括:
分别获取位于所述连接关系输入端的每个器件在每种时序分析模式下的建立时间松弛的参数值;
分别获取位于所述连接关系输入端的每个器件所在路径的其余器件中每个器件在所述每种时序分析模式下的最低阈值电压与最高阈值电压的延时差;
分别计算在所述每种时序分析模式下位于所述连接关系输入端的器件的建立时间松弛的参数值依次减去该器件所在路径上其余器件中每个器件在该种时序分析模式下的最低阈值电压与最高阈值电压的延时差的结果,并将计算结果小于或者等于0的路径上的全部器件加入至所述第一器件集合。
3.根据权利要求1所述的方法,其特征在于,根据所述连接关系、所述第二参数值集合以及所述第一器件集合中的每个器件在所述多种时序分析模式下的静态功耗优化效率的参数值从所述第一器件集合中确定所述待替换阈值电压的第二器件集合包括:
判断所述第一器件集合中的每个器件在所述多种时序分析模式下的建立时间松弛的参数值集合中的每个参数值是否均大于0;
根据以下至少之一在判断结果均大于0的器件中确定所述第二器件集合:每个器件所在的路径的数目、替换该器件所影响到的该器件所在路径上的器件的数量、该器件在所述多种时序分析模式下的静态功耗优化效率的参数值。
4.根据权利要求3所述的方法,其特征在于,采用以下公式根据所述每个器件所在的路径的数目和该器件在所述多种时序分析模式下的静态功耗优化效率的参数值确定所述第二器件集合:
△P/(△D*N),
选取计算结果数值最大的一个或多个器件加入至所述第二器件集合,其中,△P为所述判断结果均大于0的每个器件在所述多种时序分析模式下的最高阈值电压与最低阈值电压的平均静态功耗差,△D为该器件在所述多种时序分析模式下的最高阈值电压与最低阈值电压的平均器件延迟差,N为所述每个器件所在的路径的数目。
5.根据权利要求1所述的方法,其特征在于,在确定所述待替换阈值电压的第二器件集合之后,还包括:
获取待使用的多个阈值电压;
采用每个待使用的阈值电压与当前使用的阈值电压在每种时序分析模式下的延迟值的差值减去该待使用的阈值电压在该种时序分析模式下的建立时间松弛的参数值;
如果计算结果为负的待使用的阈值电压为多个时,则将在所述多种时序分析模式下的静态功耗优化效率最高的待使用的阈值电压确定为待选用的阈值电压,并将所述第二器件集合中每个器件均替换为与所述待选用的阈值电压对应的器件类型。
6.根据权利要求5所述的方法,其特征在于,在将所述第二器件集合中每个器件均替换为与所述待选用的阈值电压对应的器件类型之后,还包括:
根据所述待选用的阈值电压在每种时序分析模式下的延迟值更新所述第二器件集合中每个器件当前在每种时序分析模式下的建立时间松弛的参数值;
根据所述第二器件集合中每个器件更新后的在每种时序分析模式下的建立时间松弛的参数值更新该器件所在路径上其余器件中每个器件在所述每种时序分析模式下的建立时间松弛的参数值。
7.一种待替换阈值电压器件的确定装置,其特征在于,包括:
第一获取模块,用于获取当前集成电路中各个器件之间的连接关系;
第一确定模块,用于根据第一参数值集合和延时差集合的计算结果确定第一器件集合,其中,所述第一参数值集合包括:位于所述连接关系的输入端的每个器件在多种时序分析模式中的每种时序分析模式下的建立时间松弛的参数值,所述延时差集合包括:位于所述连接关系的输入端的每个器件所在路径的其余器件中每个器件在所述每种时序分析模式下的最低阈值电压与最高阈值电压的延时差;
第二确定模块,用于根据所述连接关系、第二参数值集合以及所述第一器件集合中的每个器件在所述多种时序分析模式下的静态功耗优化效率的参数值从所述第一器件集合中确定待替换阈值电压的第二器件集合,其中,所述第二参数值集合包括:所述第一器件集合中的每个器件在所述每种时序分析模式下的建立时间松弛的参数值。
8.根据权利要求7所述的装置,其特征在于,所述第一确定模块包括:
第一获取单元,用于分别获取位于所述连接关系输入端的每个器件在每种时序分析模式下的建立时间松弛的参数值;
第二获取单元,用于分别获取位于所述连接关系输入端的每个器件所在路径的其余器件中每个器件在所述每种时序分析模式下的最低阈值电压与最高阈值电压的延时差;
计算单元,用于分别计算在所述每种时序分析模式下位于所述连接关系输入端的器件的建立时间松弛的参数值依次减去该器件所在路径上其余器件中每个器件在该种时序分析模式下的最低阈值电压与最高阈值电压的延时差的结果,并将计算结果小于或者等于0的路径上的全部器件加入至所述第一器件集合。
9.根据权利要求7所述的装置,其特征在于,所述第二确定模块包括:
判断单元,用于判断所述第一器件集合中的每个器件在所述多种时序分析模式下的建立时间松弛的参数值集合中的每个参数值是否均大于0;
确定单元,用于根据以下至少之一在判断结果均大于0的器件中确定所述第二器件集合:每个器件所在的路径的数目、替换该器件所影响到的该器件所在路径上的器件的数量、该器件在所述多种时序分析模式下的静态功耗优化效率的参数值。
10.根据权利要求9所述的装置,其特征在于,所述确定单元采用以下公式根据所述每个器件所在的路径的数目和该器件在所述多种时序分析模式下的静态功耗优化效率的参数值确定所述第二器件集合:
△P/(△D*N),
选取计算结果数值最大的一个或多个器件加入至所述第二器件集合,其中,△P为所述判断结果均大于0的每个器件在所述多种时序分析模式下的最高阈值电压与最低阈值电压的平均静态功耗差,△D为该器件在所述多种时序分析模式下的最高阈值电压与最低阈值电压的平均器件延迟差,N为所述每个器件所在的路径的数目。
11.根据权利要求7所述的装置,其特征在于,所述装置还包括:
第二获取模块,用于获取待使用的多个阈值电压;
计算模块,用于采用每个待使用的阈值电压与当前使用的阈值电压在每种时序分析模式下的延迟值的差值减去该待使用的阈值电压在该种时序分析模式下的建立时间松弛的参数值;
替换模块,用于在计算结果为负的待使用的阈值电压为多个时,则将在所述多种时序分析模式下的静态功耗优化效率最高的待使用的阈值电压确定为待选用的阈值电压,并将所述第二器件集合中每个器件均替换为与所述待选用的阈值电压对应的器件类型。
12.根据权利要求11所述的装置,其特征在于,所述装置还包括:
第一更新模块,用于根据所述待选用的阈值电压在每种时序分析模式下的延迟值更新所述第二器件集合中每个器件当前在每种时序分析模式下的建立时间松弛的参数值;
第二更新模块,用于根据所述第二器件集合中每个器件更新后的在每种时序分析模式下的建立时间松弛的参数值更新该器件所在路径上其余器件中每个器件在所述每种时序分析模式下的建立时间松弛的参数值。
CN201310109458.6A 2013-03-29 2013-03-29 待替换阈值电压器件的确定方法及装置 Active CN103226632B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201310109458.6A CN103226632B (zh) 2013-03-29 2013-03-29 待替换阈值电压器件的确定方法及装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201310109458.6A CN103226632B (zh) 2013-03-29 2013-03-29 待替换阈值电压器件的确定方法及装置

Publications (2)

Publication Number Publication Date
CN103226632A CN103226632A (zh) 2013-07-31
CN103226632B true CN103226632B (zh) 2016-03-30

Family

ID=48837077

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310109458.6A Active CN103226632B (zh) 2013-03-29 2013-03-29 待替换阈值电压器件的确定方法及装置

Country Status (1)

Country Link
CN (1) CN103226632B (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111881637B (zh) * 2020-07-08 2021-05-04 广芯微电子(广州)股份有限公司 一种数字电路功耗优化的方法、系统及存储介质
CN112131810B (zh) * 2020-09-29 2024-03-22 飞腾信息技术有限公司 建立时间违例修复方法、装置、电子设备及可读存储介质
CN112214097B (zh) * 2020-10-20 2021-11-05 飞腾信息技术有限公司 减少低阈值单元的实现方法、装置、设备及存储介质
CN112183003B (zh) * 2020-11-30 2021-03-30 浙江大学 基于时序裕度和时序路径的分步多阈值电压单元分配方法
CN113343622B (zh) * 2021-06-23 2023-06-13 海光信息技术股份有限公司 一种电路优化方法、装置、电子设备和可读存储介质
CN113486613B (zh) * 2021-06-29 2023-06-30 海光信息技术股份有限公司 数据链路的提取方法、装置、电子设备和存储介质
CN116090399B (zh) * 2023-04-06 2023-06-16 中国人民解放军国防科技大学 基于数据输出端建立时间裕量的触发器转换方法及装置

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1329396A (zh) * 2000-06-20 2002-01-02 株式会社东芝 半导体集成电路、逻辑运算电路和触发器
CN1505152A (zh) * 2002-11-29 2004-06-16 国际商业机器公司 减少的集成电路芯片泄漏以及减少泄漏的方法
CN1722438A (zh) * 2004-07-13 2006-01-18 国际商业机器公司 低泄漏单调cmos逻辑
CN101241523A (zh) * 2008-03-10 2008-08-13 清华大学 全芯片互连线功耗最优的布局阶段缓冲器规划方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1329396A (zh) * 2000-06-20 2002-01-02 株式会社东芝 半导体集成电路、逻辑运算电路和触发器
CN1505152A (zh) * 2002-11-29 2004-06-16 国际商业机器公司 减少的集成电路芯片泄漏以及减少泄漏的方法
CN1722438A (zh) * 2004-07-13 2006-01-18 国际商业机器公司 低泄漏单调cmos逻辑
CN101241523A (zh) * 2008-03-10 2008-08-13 清华大学 全芯片互连线功耗最优的布局阶段缓冲器规划方法

Non-Patent Citations (8)

* Cited by examiner, † Cited by third party
Title
Design and optimization of dual-threshold circuits for low-voltage low-power applications;Liqiong Wei等;《IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS》;19990331;第7卷(第1期);全文 *
Konrad Engel等.Algorithms for Leakage Reduction with Dual Threshold Design Techniques.《International Symposium on System-on-Chip,2006》.2006,第1-4页. *
Michael Liu等.Leakage Power Reduction by Dual-Vth Designs Under Probabilistic Analysis of Vth Variation.《Proceedings of the 2004 International Symposium on Low Power Electronics and Design》.2004, *
孟一聪.数字集成电路低功耗设计技术的研究及应用.《中国优秀博硕士学位论文全文数据库(硕士) 信息科技辑》.2006,全文. *
崔颖.集成电路低功耗方法及其应用研究.《中国优秀硕士学位论文全文数据库 信息科技辑》.2009,全文. *
数字电路低功耗设计方法研究;吴福炜;《中国优秀博硕士学位论文全文数据库(博士) 信息科技辑》;20030615;全文 *
熊俊峰等.基于多阈值电压技术的功耗优化方法研究.《第十六届计算机工程与工艺年会暨第二届微处理器技术论坛文集》.2012,全文. *
芯片动态门限静态功耗的优化技术;李先锐等;《电子科技大学学报》;20090531;第38卷(第3期);全文 *

Also Published As

Publication number Publication date
CN103226632A (zh) 2013-07-31

Similar Documents

Publication Publication Date Title
CN103226632B (zh) 待替换阈值电压器件的确定方法及装置
CN102314525B (zh) 一种低功耗电路设计优化方法
CN100414552C (zh) 估计电路时钟树的抖动并合成抖动意识和偏差意识时钟树
CN101539958B (zh) 一种标准单元库和集成电路的设计方法和装置
US8726211B2 (en) Generating an equivalent waveform model in static timing analysis
KR102398596B1 (ko) 집적 회로의 수율 예측 방법 및 집적 회로의 설계 최적화 방법
Moreira et al. Impact of C-elements in asynchronous circuits
CN103164585B (zh) 缓冲器的插入方法及装置
JP4964685B2 (ja) 半導体集積回路の電源変動検証装置及び方法並びにそのプログラム
US7240304B2 (en) Method for voltage drop analysis in integreted circuits
US9165105B2 (en) Rule checking for confining waveform induced constraint variation in static timing analysis
US20120123745A1 (en) Adaptive Content-aware Aging Simulations
KR100593803B1 (ko) 반도체 집적회로의 블록배치 및 전력배선 설계방법
CN111898335B (zh) 一种电路可靠性分析方法
Vasicek Relaxed equivalence checking: a new challenge in logic synthesis
US10963610B1 (en) Analyzing clock jitter using delay calculation engine
JP2006215987A (ja) 電圧降下量計算方法及び電圧降下量計算装置、回路検証方法及び回路検証装置、並びに回路設計方法及び回路設計装置
CN102722600B (zh) 芯片功耗的计算方法
CN109388839B (zh) 时钟系统性能分析方法及装置
CN104573148A (zh) 一种降低电路中时序器件漏电功耗的方法
Shan et al. Timing monitoring paths selection for wide voltage IC
KR101536205B1 (ko) 모델링 된 로직 셀의 전류 파형 분석 방법
CN113836844B (zh) 一种基于事件传播的动态时序分析方法
Wang et al. RTL power optimization with gate-level accuracy
Fan et al. An algorithm for reducing leakage power based on dual-threshold voltage technique

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CP03 Change of name, title or address

Address after: 100095 Building 2, Longxin Industrial Park, Zhongguancun environmental protection technology demonstration park, Haidian District, Beijing

Patentee after: Loongson Zhongke Technology Co.,Ltd.

Address before: 100190 No. 10 South Road, Zhongguancun Academy of Sciences, Haidian District, Beijing

Patentee before: LOONGSON TECHNOLOGY Corp.,Ltd.

CP03 Change of name, title or address